summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorPalmer Dabbelt <palmer@dabbelt.com>2017-03-02 20:05:50 -0800
committerPalmer Dabbelt <palmer@dabbelt.com>2017-03-02 20:06:00 -0800
commitaad44f0dea1dfc0b14738fbdee75038ce3ba5441 (patch)
tree8f6b19918b7cbdbc8c65719e0e01ee33defa6345
parentAdd a weston at 1.12.0 (diff)
downloadpalmer-aad44f0dea1dfc0b14738fbdee75038ce3ba5441.tar.gz
palmer-aad44f0dea1dfc0b14738fbdee75038ce3ba5441.tar.bz2
palmer-aad44f0dea1dfc0b14738fbdee75038ce3ba5441.zip
Remove unused packages
I'm cleaning up my portage repo now!
-rw-r--r--app-admin/eselect-scala/Manifest2
-rw-r--r--app-admin/eselect-scala/eselect-scala-0.1.ebuild23
-rw-r--r--app-admin/eselect-scala/files/scala.eselect107
-rw-r--r--app-misc/bazel/Manifest3
-rw-r--r--app-misc/bazel/bazel-0.1.1.ebuild41
-rw-r--r--app-misc/bazel/files/bazel-0.1.1-java-1.7.patch68
-rw-r--r--app-misc/cronic/Manifest2
-rw-r--r--app-misc/cronic/cronic-1.0.ebuild31
-rw-r--r--dev-java/sbt-bin/Manifest6
-rw-r--r--dev-java/sbt-bin/sbt-bin-0.11.3.ebuild32
-rw-r--r--dev-java/sbt-bin/sbt-bin-0.12.4.ebuild32
-rw-r--r--dev-java/sbt-bin/sbt-bin-0.13.8.ebuild32
-rw-r--r--dev-lang/scala-bin/Manifest2
-rw-r--r--dev-lang/scala-bin/scala-bin-2.10.3.ebuild35
-rw-r--r--media-libs/libseek/Manifest4
-rw-r--r--media-libs/libseek/files/libseek-0.1-shared_library.patch13
-rw-r--r--media-libs/libseek/libseek-0.1.ebuild33
-rw-r--r--media-libs/libsfml/Manifest4
-rw-r--r--media-libs/libsfml/files/libsfml-2.2-no-docs.patch15
-rw-r--r--media-libs/libsfml/files/libsfml-2.2-shared-glew.patch12
-rw-r--r--media-libs/libsfml/libsfml-2.2-r1.ebuild65
-rw-r--r--media-sound/pavucontrol/Manifest3
-rw-r--r--media-sound/pavucontrol/files/pavucontrol-segfault.patch188
-rw-r--r--media-sound/pavucontrol/pavucontrol-2.0-r1.ebuild43
-rw-r--r--net-dns/noip-updater/Manifest6
-rw-r--r--net-dns/noip-updater/files/noip-2.1.9-daemon.patch21
-rw-r--r--net-dns/noip-updater/files/noip-2.1.9-flags.patch11
-rw-r--r--net-dns/noip-updater/files/noip.service12
-rw-r--r--net-dns/noip-updater/files/noip2.start34
-rw-r--r--net-dns/noip-updater/noip-updater-2.1.9-r1.ebuild56
-rw-r--r--net-libs/libndp/Manifest2
-rw-r--r--net-libs/libndp/libndp-1.4.ebuild28
-rw-r--r--net-nds/yp-tools/Manifest5
-rw-r--r--net-nds/yp-tools/files/domainname.service10
-rw-r--r--net-nds/yp-tools/files/domainname.service.conf3
-rw-r--r--net-nds/yp-tools/files/yp-tools-2.12-libc_lock.patch11
-rw-r--r--net-nds/yp-tools/yp-tools-2.12-r1.ebuild46
-rw-r--r--sci-electronics/chisel-torture/Manifest2
-rw-r--r--sci-electronics/chisel-torture/chisel-torture-0.0.2.ebuild31
-rw-r--r--sci-electronics/chisel/Manifest14
-rw-r--r--sci-electronics/chisel/chisel-2.2.17.ebuild73
-rw-r--r--sci-electronics/chisel/chisel-2.2.19-r1.ebuild73
-rw-r--r--sci-electronics/chisel/chisel-2.2.20-r1.ebuild77
-rw-r--r--sci-electronics/chisel/chisel-2.2.20.ebuild73
-rw-r--r--sci-electronics/chisel/chisel-2.2.22.ebuild73
-rw-r--r--sci-electronics/chisel/chisel-2.2.27-r1.ebuild77
-rw-r--r--sci-electronics/chisel/chisel-2.2.27.ebuild73
-rw-r--r--sci-electronics/chisel/files/chisel-2.2.20-Wall.patch83
-rw-r--r--sci-electronics/chisel/files/chisel-2.2.27-copy_to_target.patch17
-rw-r--r--sci-electronics/flo-llvm/Manifest10
-rw-r--r--sci-electronics/flo-llvm/flo-llvm-0.0.14.ebuild32
-rw-r--r--sci-electronics/flo-llvm/flo-llvm-0.0.15.ebuild32
-rw-r--r--sci-electronics/flo-llvm/flo-llvm-0.0.18.ebuild32
-rw-r--r--sci-electronics/flo-llvm/flo-llvm-0.0.19.ebuild32
-rw-r--r--sci-electronics/flo-llvm/flo-llvm-0.0.22.ebuild32
-rw-r--r--sci-electronics/iverilog/Manifest2
-rw-r--r--sci-electronics/iverilog/iverilog-10.0.ebuild50
-rw-r--r--sci-electronics/libflo/Manifest4
-rw-r--r--sci-electronics/libflo/libflo-0.0.18.ebuild24
-rw-r--r--sci-electronics/libflo/libflo-0.0.19.ebuild24
-rw-r--r--sci-electronics/synopsys-customdesigner/.synopsys-customdesigner-2014.12.2.ebuild.swpbin12288 -> 0 bytes
-rw-r--r--sci-electronics/synopsys-customdesigner/Manifest4
-rw-r--r--sci-electronics/synopsys-customdesigner/synopsys-customdesigner-2014.12.2.ebuild33
-rw-r--r--sci-electronics/synopsys-installer/Manifest2
-rw-r--r--sci-electronics/synopsys-installer/synopsys-installer-3.2.ebuild22
-rw-r--r--sci-electronics/synopsys-milkyway/Manifest4
-rw-r--r--sci-electronics/synopsys-milkyway/synopsys-milkyway-2015.06.5.2.ebuild30
-rw-r--r--sci-electronics/synopsys-vcs/Manifest4
-rw-r--r--sci-electronics/synopsys-vcs/synopsys-vcs-2016.06.1.ebuild30
-rw-r--r--sci-electronics/vcd2step/Manifest2
-rw-r--r--sci-electronics/vcd2step/vcd2step-0.0.2.ebuild32
-rw-r--r--sci-electronics/vcddiff/Manifest2
-rw-r--r--sci-electronics/vcddiff/vcddiff-0.0.5.ebuild29
-rw-r--r--sci-visualization/silo/Manifest2
-rw-r--r--sci-visualization/silo/silo-4.8.ebuild21
-rw-r--r--sys-apps/pplug/Manifest3
-rw-r--r--sys-apps/pplug/files/pplug16
-rw-r--r--sys-apps/pplug/pplug-0.0.2.ebuild33
-rw-r--r--sys-cluster/openmpi/Manifest2
-rw-r--r--sys-cluster/openmpi/openmpi-1.4.3.ebuild103
80 files changed, 0 insertions, 2355 deletions
diff --git a/app-admin/eselect-scala/Manifest b/app-admin/eselect-scala/Manifest
deleted file mode 100644
index dde120a..0000000
--- a/app-admin/eselect-scala/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-AUX scala.eselect 2115 SHA256 ccaaf2eca96af6780fbbbd229f670c3e01acf3d339a6f642c4197deac0dcbf86 SHA512 a614927c420ab615d2116185249a6dea6b0f598436093defbf0dfe1b6b7fe9c8b8f6fd550b2213d50e8ad07f15199377fc629c604e9b1b1eb20af5c6ada24e1d WHIRLPOOL 9ce4e09da2488d77f0fd0d55bb441e54f2347cde4472c49224263150f30e58bf515f3d2668210a533396a7c213482e577957d0013ac08c874d1242b1bae5f987
-EBUILD eselect-scala-0.1.ebuild 457 SHA256 43d9fb89c7570e1b58ea3fe2b86e0e713ab2313078dc6ba10b696a167d7374a1 SHA512 62e0de9b3406ee6016213c5e57e01e3b2610fcc948da3d9cc0f997477e38be5dd64a3aa10b21699f1453af2bce6c60d7a8c295fc2e2ac0f5711b4cc73bd2407b WHIRLPOOL 9b930eb99d272ff8954582ad78f8a0e452a6f73df2ddff4b1b00e5ffd9a800b93ad8c5ebd9baa2007ccbe5be38ba9a6a615a35b0775fce361fe106113a6f653f
diff --git a/app-admin/eselect-scala/eselect-scala-0.1.ebuild b/app-admin/eselect-scala/eselect-scala-0.1.ebuild
deleted file mode 100644
index b4be479..0000000
--- a/app-admin/eselect-scala/eselect-scala-0.1.ebuild
+++ /dev/null
@@ -1,23 +0,0 @@
-# Copyright 1999-2011 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Header: $
-
-EAPI=3
-
-DESCRIPTION="Manages Scala symlinks"
-HOMEPAGE="http://whiter4bbit.info"
-SRC_URI=""
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64"
-IUSE=""
-
-DEPEND=">=app-admin/eselect-1.0.10
- !<dev-lang/scala-2.8.1"
-RDEPEND="${DEPEND}"
-
-src_install() {
- insinto /usr/share/eselect/modules
- doins "${FILESDIR}/scala.eselect" || die "doins failed"
-}
diff --git a/app-admin/eselect-scala/files/scala.eselect b/app-admin/eselect-scala/files/scala.eselect
deleted file mode 100644
index e9e5a88..0000000
--- a/app-admin/eselect-scala/files/scala.eselect
+++ /dev/null
@@ -1,107 +0,0 @@
-DESCRIPTION="Manage Scala targets"
-MAINTAINER="wr4bbit@gmail.com"
-VERSION="0"
-SCALA_BIN="${ROOT}/usr/bin/scala"
-BIN="${ROOT}/usr/bin"
-
-SCALA_BINARIES=(scala scalac scaladoc scalap fsc)
-
-describe_list() {
- echo "List of installed scala versions"
-}
-
-find_targets() {
- for f in $(ls -r ${BIN}/scala-[0-9]*) ; do
- echo ${f}
- done
-}
-
-do_list() {
- local targets=( $(find_targets) )
- local i line
- for (( i = 0; i < ${#targets[@]}; i++ )) ; do
- line=$(basename "${targets[i]}")
- if [[ ${targets[i]} = $(readlink ${SCALA_BIN}) ]] ; then
- line=$(highlight_marker "${line}")
- fi
- targets[i]="${line}"
- done
- write_numbered_list "${targets[@]}"
-}
-
-describe_show() {
- echo "Show current target"
-}
-
-do_show() {
- if [ -e "${SCALA_BIN}" ] ; then
- local p=$(readlink ${SCALA_BIN})
- write_kv_list_entry "$(basename ${p})" ""
- else
- write_kv_list_entry "(none)" ""
- fi
-}
-
-describe_set() {
- echo "Set current target"
-}
-
-describe_set_parameters() {
- echo "<target>"
-}
-
-describe_set_options() {
- echo "target: scala target to set"
-}
-
-remove_symlinks() {
- local postfix=${1}
- for b in ${SCALA_BINARIES[*]}
- do
- local bin_path="${BIN}/${b}"
- [[ -e "${bin_path}" ]] && rm "${bin_path}"
- done
-}
-
-create_symlinks() {
- local postfix=${1}
- for b in ${SCALA_BINARIES[*]}
- do
- local link_path="${BIN}/${b}${postfix}"
- local bin_path="${BIN}/${b}"
- ln -s "${link_path}" "${bin_path}"
- done
-}
-
-check_postfix() {
- local postfix=${1}
- local target=${2}
- for b in ${SCALA_BINARIES[*]}
- do
- local link_path="${BIN}/${b}${postfix}"
- if [[ ! -e "${link_path}" ]]; then
- write_error_msg "Invalid target ${target}"
- exit
- fi
- done
-}
-
-do_set() {
- local target=${1}
-
- local postfix
- if [[ ${target} =~ (\-([0-9\.]+)) ]]; then
- postfix="${BASH_REMATCH[1]}"
- else
- write_error_msg "Incorrect target"
- exit
- fi
-
- check_postfix "${postfix}" "${target}"
-
- remove_symlinks "${postfix}"
- create_symlinks "${postfix}"
-
- do_show
-}
-# vim: set ft=eselect :
diff --git a/app-misc/bazel/Manifest b/app-misc/bazel/Manifest
deleted file mode 100644
index dc721f8..0000000
--- a/app-misc/bazel/Manifest
+++ /dev/null
@@ -1,3 +0,0 @@
-AUX bazel-0.1.1-java-1.7.patch 2904 SHA256 9b666aeb56eec2ba62ef8d47dc212e3eddca7e65313240a43602c6456bae9d62 SHA512 14262ab79bf924d806ebabc7dcc27caf2180c7d22afcc4e5e16411f5cab26fcce0143e89b15e9757152f2b2d7de7a3f7db64626d251973c4501511f4c3944c19 WHIRLPOOL 695ce73068e35c415ba159fd1846ddc852d868a6e8116f4f0acdb9f818aaefcc102d9699f0a98cb36662b7f675a6e3c78421e5b71f3b0ffd1a7c6a69503f703d
-DIST bazel-0.1.1.tar.gz 32568290 SHA256 49d11d467cf9e32dea618727198592577fbe76ff2e59217c53e3515ddf61cd95 SHA512 1d7636f7c4b2d7e152685bef27f6913b75c376730e106c85a54fbaf0e0797f74ecc2e7e7fb4ff1cd8cbe2b4d30c391c89a12f03de8ac8e758b3715632ae99717 WHIRLPOOL 20e4d0ab279d6d833a82b4ed986230806a91d31d16ca9c5deb6a78ee7404d81680a15672d2c1970551158f564396f098c749b4c586d86173036f03c8b2eb2f03
-EBUILD bazel-0.1.1.ebuild 707 SHA256 7dd4c95528ca092e1ed88dd6d9d5ce091e6e1318875eaec9509a589b05ce8eff SHA512 4efde9eb93dea37a8434054a3842e4e49be27dae740e760752448c5dea1f8687e9852d7d1ca70250d40d16edd41a51a37241f478bb1dcd470ca8fd4570b1fc72 WHIRLPOOL 6936a6306064e128c2deb6883531a4476f6a8edeaad87c74c35b655314ca4ebd79069b4469fc341b4d12cb429d16461eda67508739f15a668335e90ff7895bd1
diff --git a/app-misc/bazel/bazel-0.1.1.ebuild b/app-misc/bazel/bazel-0.1.1.ebuild
deleted file mode 100644
index f104791..0000000
--- a/app-misc/bazel/bazel-0.1.1.ebuild
+++ /dev/null
@@ -1,41 +0,0 @@
-# Copyright 1999-2015 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Id$
-
-EAPI=5
-
-inherit eutils
-
-DESCRIPTION="Bazel build system"
-HOMEPAGE="http://bazel.io/"
-SRC_URI="https://github.com/bazelbuild/${PN}/archive/${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="Apache-2.0"
-SLOT="0"
-KEYWORDS="~amd64"
-IUSE=""
-
-DEPEND=""
-RDEPEND="${DEPEND}"
-
-RESTRICT="strip"
-
-src_prepare() {
- epatch "${FILESDIR}/${P}-java-1.7.patch"
-}
-
-src_configure() {
- :
-}
-
-src_compile() {
- ./compile.sh
-}
-
-src_install() {
- mkdir -p ${ED}/usr/bin
- cp -a --reflink=auto output/${PN} ${ED}/usr/bin/
-
- mkdir -p ${ED}/usr/lib/${PN}
- tar -h -c base_workspace | tar -C ${ED}/usr/lib/${PN} -x
-}
diff --git a/app-misc/bazel/files/bazel-0.1.1-java-1.7.patch b/app-misc/bazel/files/bazel-0.1.1-java-1.7.patch
deleted file mode 100644
index 62da1b7..0000000
--- a/app-misc/bazel/files/bazel-0.1.1-java-1.7.patch
+++ /dev/null
@@ -1,68 +0,0 @@
-diff -Nur bazel-0.1.1.orig/scripts/bootstrap/bootstrap.sh bazel-0.1.1/scripts/bootstrap/bootstrap.sh
---- bazel-0.1.1.orig/scripts/bootstrap/bootstrap.sh 2015-12-03 11:53:04.780669965 -0800
-+++ bazel-0.1.1/scripts/bootstrap/bootstrap.sh 2015-12-03 11:53:16.060153924 -0800
-@@ -29,7 +29,7 @@
- EMBED_LABEL_ARG=(--stamp --embed_label "${EMBED_LABEL}")
- fi
-
--: ${JAVA_VERSION:="1.8"}
-+: ${JAVA_VERSION:="1.7"}
- : ${BAZEL_ARGS="--singlejar_top=//src/java_tools/singlejar:bootstrap_deploy.jar \
- --javabuilder_top=//src/java_tools/buildjar:bootstrap_deploy.jar \
- --genclass_top=//src/java_tools/buildjar:bootstrap_genclass_deploy.jar \
-diff -Nur bazel-0.1.1.orig/scripts/bootstrap/buildenv.sh bazel-0.1.1/scripts/bootstrap/buildenv.sh
---- bazel-0.1.1.orig/scripts/bootstrap/buildenv.sh 2015-12-03 11:55:40.773535945 -0800
-+++ bazel-0.1.1/scripts/bootstrap/buildenv.sh 2015-12-03 11:55:52.612994729 -0800
-@@ -21,7 +21,7 @@
- DIR=$(cd "$(dirname "${BASH_SOURCE[0]}")" && pwd)
- WORKSPACE_DIR="$(dirname $(dirname ${DIR}))"
-
--JAVA_VERSION=${JAVA_VERSION:-1.8}
-+JAVA_VERSION=${JAVA_VERSION:-1.7}
- BAZELRC=${BAZELRC:-"/dev/null"}
- PLATFORM="$(uname -s | tr 'A-Z' 'a-z')"
-
-diff -Nur bazel-0.1.1.orig/compile.sh bazel-0.1.1/compile.sh
---- bazel-0.1.1.orig/compile.sh 2015-12-03 12:05:02.667835113 -0800
-+++ bazel-0.1.1/compile.sh 2015-12-03 12:06:54.222728971 -0800
-@@ -171,15 +171,15 @@
- fi
-
- [ -n "$JAVAC_VERSION" ] || get_java_version
-- if [[ ! "${BAZEL_TEST_FILTERS-}" =~ "-jdk8" ]] \
-- && [ "8" -gt ${JAVAC_VERSION#*.} ]; then
-- display "$WARNING Your version of Java is lower than 1.8!"
-- display "$WARNING Deactivating Java 8 tests, please use a JDK 8 to fully"
-+ if [[ ! "${BAZEL_TEST_FILTERS-}" =~ "-jdk7" ]] \
-+ && [ "7" -gt ${JAVAC_VERSION#*.} ]; then
-+ display "$WARNING Your version of Java is lower than 1.7!"
-+ display "$WARNING Deactivating Java 8 tests, please use a JDK 7 to fully"
- display "$WARNING test Bazel."
- if [ -n "${BAZEL_TEST_FILTERS-}" ]; then
-- BAZEL_TEST_FILTERS="${BAZEL_TEST_FILTERS},-jdk8"
-+ BAZEL_TEST_FILTERS="${BAZEL_TEST_FILTERS},-jdk7"
- else
-- BAZEL_TEST_FILTERS="-jdk8"
-+ BAZEL_TEST_FILTERS="-jdk7"
- fi
- fi
- $BAZEL --bazelrc=${BAZELRC} --nomaster_bazelrc test \
-diff -Nur bazel-0.1.1.orig/src/main/cpp/blaze.cc bazel-0.1.1/src/main/cpp/blaze.cc
---- bazel-0.1.1.orig/src/main/cpp/blaze.cc 2015-12-03 12:09:36.435307609 -0800
-+++ bazel-0.1.1/src/main/cpp/blaze.cc 2015-12-03 12:09:58.874281328 -0800
-@@ -459,6 +459,7 @@
- static string VerifyJavaVersionAndGetJvm() {
- string exe = globals->options.GetJvm();
-
-+#if 0
- string version_spec_file = blaze_util::JoinPath(
- blaze_util::JoinPath(globals->options.install_base, "_embedded_binaries"),
- "java.version");
-@@ -480,6 +481,7 @@
- jvm_version.c_str(), version_spec.c_str());
- }
- }
-+#endif
-
- return exe;
- }
diff --git a/app-misc/cronic/Manifest b/app-misc/cronic/Manifest
deleted file mode 100644
index caab694..0000000
--- a/app-misc/cronic/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST cronic-1.0.tar.gz 925 SHA256 724c5b01f88f09e33676bdc437b3e3d5293cf7ab892cb6f5d96108c6582fd21b SHA512 0462292f5c2ba418571db2a9cb7f70c4f51f3de30978736c53e40213a53a502c97720da3cafa5130dc0c4edf7ee2d31403b82ef382f29133a1f9897ca791dd05 WHIRLPOOL 54dfb4a14a0729772c38b9deaa751f1a3172ed58a927faa2b68849e0c88e329937e92679bc1d97073756ece9ae52d8f36a58966641c3909f23f80900fd0b0548
-EBUILD cronic-1.0.ebuild 788 SHA256 e3ac3fbabb1569dc5687a1f8543372fa6f348facbd247ed2a828f9ae65739b02 SHA512 f2dfb07ce336a30ee7205336b2c94a2dca37b1c094dd8351540d88e6bd7c784764681c7595c70565d4b865fcb9515fe3cb48be9614bc482d7aadeb3d8d904252 WHIRLPOOL 7b932a9391150060f647e77f2d1c5b4f61fa38a603641710ef342ff7244b75567f9470718814bb88e9fd2e38bc7a6f545020a9dd534397c67e6fa5dfab3aae9a
diff --git a/app-misc/cronic/cronic-1.0.ebuild b/app-misc/cronic/cronic-1.0.ebuild
deleted file mode 100644
index f51a313..0000000
--- a/app-misc/cronic/cronic-1.0.ebuild
+++ /dev/null
@@ -1,31 +0,0 @@
-EAPI="4"
-
-DESCRIPTION="A cure for Cron's chronic email problem"
-HOMEPAGE="http://habilis.net/cronic/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure
- sys-devel/make"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- rm Configfile.local >& /dev/null || true
- touch Configfile.local
-
- echo "PREFIX = ${EPREFIX}/usr" >> Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- for i in $(echo ${CFLAGS}); do
- echo "COMPILEOPTS += ${i}" >> Configfile.local
- done
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
- echo "COMPILEOPTS += -DPROCESSORS=\\\"$(grep -c "physical id" /proc/cpuinfo)\\\"" >> Configfile.local
-
- pconfigure || die "pconfigure failed"
-}
diff --git a/dev-java/sbt-bin/Manifest b/dev-java/sbt-bin/Manifest
deleted file mode 100644
index 1d2e41c..0000000
--- a/dev-java/sbt-bin/Manifest
+++ /dev/null
@@ -1,6 +0,0 @@
-DIST sbt-launch-0.11.3.jar 1041905 SHA256 94ca656fd2ac16b52075333745405df57acfed32c9c33ead50440f75900bf3a8 SHA512 e9220dcc7fecbc228f9601515031d60cec79b8dbf7f836253753be3970be622c0d3af6d66f3be519a889f9175ce4ceeded4d322b2d10f3a564bcbe02d6d92beb WHIRLPOOL be78b1cdc787def87899f2208d4bf9792aedb7928c3ac160ef806fdb950ed0ecb8c4d6dcc5bcc3b44d7cc76c6cee187ffae32d366e20837fe1d98e16652377be
-DIST sbt-launch-0.12.4.jar 1106673 SHA256 7cef96a663a2873a1bbe2cbb49a607c66e77f4538bf555bb1a19bd339bebc780 SHA512 db02a35087bff2cf69af0451ccad5a224bb95bb027d273685903288d5687a85bb3aed5f6496c573d7e3a1ca38c8f8bcaa4a415e8c38555b6519de2e61f7b010a WHIRLPOOL c9d1c873feb6b3c9e8faa916c892f4d53f456a5576427aeeaaaf71c76bb592dad2c34d02c0a819aeca8d78f05ad632698be5de3fa3248ac81e83fd8998453573
-DIST sbt-launch-0.13.8.jar 1208385 SHA256 6570bb03df6138ffaa7ac0bbe35eb4ea79062d1146b6929c75cf238d14dd9158 SHA512 ddc62dd85b552a7157ae0c19d0161d77a929adeb4ea81042a3a38c3d979e32674b9174aef47d458e9a7c8ea7942c08f1a7177b9bc739f7cd9e0484aef9915204 WHIRLPOOL 63841a401739aa3eab24632fcec41dc5c186502f4b80fe782106a0c6448b1da2321bf2a7a0b0f83473f7c073cce2ac8f5f85440d955acc191a73bd95200cb2cf
-EBUILD sbt-bin-0.11.3.ebuild 836 SHA256 c90b0f050ee5928713ce59df8d0f5e440d3fb72e8d9d64dac973cc85a6b057bc SHA512 599374c2c619e7de19108229b3291c0d4a08b5f1cf7ecfabb1a6b1a1edf246282ab3e86883f9c6843d2616799b14c80035987c0fb6b3807148afe0225554f690 WHIRLPOOL af5324bd706faa7d584ead4ad393014015eac2574126fe900138a0245889ca6a37141a87ce4e3d7e64b85cac852d4d08fbf147b99bf32deacaa32929ff4a2f5a
-EBUILD sbt-bin-0.12.4.ebuild 836 SHA256 c90b0f050ee5928713ce59df8d0f5e440d3fb72e8d9d64dac973cc85a6b057bc SHA512 599374c2c619e7de19108229b3291c0d4a08b5f1cf7ecfabb1a6b1a1edf246282ab3e86883f9c6843d2616799b14c80035987c0fb6b3807148afe0225554f690 WHIRLPOOL af5324bd706faa7d584ead4ad393014015eac2574126fe900138a0245889ca6a37141a87ce4e3d7e64b85cac852d4d08fbf147b99bf32deacaa32929ff4a2f5a
-EBUILD sbt-bin-0.13.8.ebuild 836 SHA256 c90b0f050ee5928713ce59df8d0f5e440d3fb72e8d9d64dac973cc85a6b057bc SHA512 599374c2c619e7de19108229b3291c0d4a08b5f1cf7ecfabb1a6b1a1edf246282ab3e86883f9c6843d2616799b14c80035987c0fb6b3807148afe0225554f690 WHIRLPOOL af5324bd706faa7d584ead4ad393014015eac2574126fe900138a0245889ca6a37141a87ce4e3d7e64b85cac852d4d08fbf147b99bf32deacaa32929ff4a2f5a
diff --git a/dev-java/sbt-bin/sbt-bin-0.11.3.ebuild b/dev-java/sbt-bin/sbt-bin-0.11.3.ebuild
deleted file mode 100644
index 53a7141..0000000
--- a/dev-java/sbt-bin/sbt-bin-0.11.3.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-# Copyright 1999-2012 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Header: $
-
-EAPI="2"
-
-inherit java-utils-2
-
-MY_PN="sbt-launch"
-MY_PV="${PV}"
-OUT_PN="${MY_PN}-${MY_PV}"
-DESCRIPTION="sbt is a build tool for Scala and Java projects that aims to do the basics well"
-HOMEPAGE="http://github.com/harrah/xsbt"
-SRC_URI="http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/sbt-launch/${PV}/sbt-launch.jar -> ${OUT_PN}.jar"
-
-LICENSE="BSD"
-SLOT="0"
-IUSE=""
-KEYWORDS="~amd64 ~x86"
-
-RDEPEND=">=virtual/jdk-1.6"
-
-S=${WORKDIR}
-
-src_unpack() {
- cp "${DISTDIR}/${OUT_PN}.jar" "${WORKDIR}/${MY_PN}.jar"
-}
-
-src_install() {
- java-pkg_dojar ${MY_PN}.jar
- java-pkg_dolauncher sbt --main xsbt.boot.Boot --java_args "-Xms512M -Xmx1536M -Xss1M -XX:+CMSClassUnloadingEnabled -XX:MaxPermSize=384M"
-}
diff --git a/dev-java/sbt-bin/sbt-bin-0.12.4.ebuild b/dev-java/sbt-bin/sbt-bin-0.12.4.ebuild
deleted file mode 100644
index 53a7141..0000000
--- a/dev-java/sbt-bin/sbt-bin-0.12.4.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-# Copyright 1999-2012 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Header: $
-
-EAPI="2"
-
-inherit java-utils-2
-
-MY_PN="sbt-launch"
-MY_PV="${PV}"
-OUT_PN="${MY_PN}-${MY_PV}"
-DESCRIPTION="sbt is a build tool for Scala and Java projects that aims to do the basics well"
-HOMEPAGE="http://github.com/harrah/xsbt"
-SRC_URI="http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/sbt-launch/${PV}/sbt-launch.jar -> ${OUT_PN}.jar"
-
-LICENSE="BSD"
-SLOT="0"
-IUSE=""
-KEYWORDS="~amd64 ~x86"
-
-RDEPEND=">=virtual/jdk-1.6"
-
-S=${WORKDIR}
-
-src_unpack() {
- cp "${DISTDIR}/${OUT_PN}.jar" "${WORKDIR}/${MY_PN}.jar"
-}
-
-src_install() {
- java-pkg_dojar ${MY_PN}.jar
- java-pkg_dolauncher sbt --main xsbt.boot.Boot --java_args "-Xms512M -Xmx1536M -Xss1M -XX:+CMSClassUnloadingEnabled -XX:MaxPermSize=384M"
-}
diff --git a/dev-java/sbt-bin/sbt-bin-0.13.8.ebuild b/dev-java/sbt-bin/sbt-bin-0.13.8.ebuild
deleted file mode 100644
index 53a7141..0000000
--- a/dev-java/sbt-bin/sbt-bin-0.13.8.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-# Copyright 1999-2012 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Header: $
-
-EAPI="2"
-
-inherit java-utils-2
-
-MY_PN="sbt-launch"
-MY_PV="${PV}"
-OUT_PN="${MY_PN}-${MY_PV}"
-DESCRIPTION="sbt is a build tool for Scala and Java projects that aims to do the basics well"
-HOMEPAGE="http://github.com/harrah/xsbt"
-SRC_URI="http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/sbt-launch/${PV}/sbt-launch.jar -> ${OUT_PN}.jar"
-
-LICENSE="BSD"
-SLOT="0"
-IUSE=""
-KEYWORDS="~amd64 ~x86"
-
-RDEPEND=">=virtual/jdk-1.6"
-
-S=${WORKDIR}
-
-src_unpack() {
- cp "${DISTDIR}/${OUT_PN}.jar" "${WORKDIR}/${MY_PN}.jar"
-}
-
-src_install() {
- java-pkg_dojar ${MY_PN}.jar
- java-pkg_dolauncher sbt --main xsbt.boot.Boot --java_args "-Xms512M -Xmx1536M -Xss1M -XX:+CMSClassUnloadingEnabled -XX:MaxPermSize=384M"
-}
diff --git a/dev-lang/scala-bin/Manifest b/dev-lang/scala-bin/Manifest
deleted file mode 100644
index 7154ca5..0000000
--- a/dev-lang/scala-bin/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST scala-2.10.3.tgz 30531249 SHA256 25d1ee0e8b3a2d3ba25e697d3f9647b30bbac9b78d922de3ce3d37cfcb484c99 SHA512 a3d325dc27342394c4ba43aefdd7e921e2968646839b8823eeb6138ab6f9998931673a8415315993ef819aadec92864bc2e1aac9d606291a7977aaa4b8ec92fe WHIRLPOOL 23ece9e1f358ef421200290b942a1ee777e08a29c6fb1e2cf1f3c3b2982f0c33d8b66dada825e59a41be0ad3b92066608e6ae0aec2773ff179e9405f8426848d
-EBUILD scala-bin-2.10.3.ebuild 788 SHA256 190c8aa6dd712c9ede5d8e3c61d6f0ad5759b1abe0fa4439520ccd16af865d3e SHA512 3a02156e7efdfe935b0198987013a76ae58a884598a3600a5e8298748ffb238efdbae3d1a3d8fbe89565391d4876fdd3e7ed055de4324022b630b037e09b0534 WHIRLPOOL 9cd09513696bfb55a02ec3587196fab5e764bd22f4a37d40b73a77def64a6e191f9846bd8ae7607474fbbf0894331133f4afc7ebd2505fab91b8ac9462c7e023
diff --git a/dev-lang/scala-bin/scala-bin-2.10.3.ebuild b/dev-lang/scala-bin/scala-bin-2.10.3.ebuild
deleted file mode 100644
index f9f124e..0000000
--- a/dev-lang/scala-bin/scala-bin-2.10.3.ebuild
+++ /dev/null
@@ -1,35 +0,0 @@
-inherit eutils multilib portability java-pkg-2 versionator
-
-PROJECT_DISTR="scala-${PV}"
-
-SLOT="2.10.1"
-LICENSE="BSD"
-DESCRIPTION="Scala 2.10.1 release"
-HOMEPAGE="http://www.scala-lang.org"
-SRC_URI="http://www.scala-lang.org/files/archive/${PROJECT_DISTR}.tgz"
-
-DEPEND=">=virtual/jdk-1.5
- app-admin/eselect-scala"
-
-S=${WORKDIR}
-
-SCALA_BINARIES=(scala scalac scaladoc scalap fsc)
-
-KEYWORDS="~amd64"
-
-src_install() {
- declare SCALA_HOME=/opt/
-
- dodir ${SCALA_HOME}
- cp -R ${PROJECT_DISTR}/ "${D}"${SCALA_HOME} || die "Unable to install"
-
- java-pkg_dojar "${PROJECT_DISTR}"/lib/*.jar
- java-pkg_dojar "${PROJECT_DISTR}"/misc/scala-devel/plugins/*.jar
-
- dodir /usr/bin
- for b in ${SCALA_BINARIES[*]}
- do
- dosym "${SCALA_HOME}/${PROJECT_DISTR}/bin/${b}" /usr/bin/${b}-${SLOT}
- done
-}
-
diff --git a/media-libs/libseek/Manifest b/media-libs/libseek/Manifest
deleted file mode 100644
index 84e918e..0000000
--- a/media-libs/libseek/Manifest
+++ /dev/null
@@ -1,4 +0,0 @@
-AUX libseek-0.1-shared_library.patch 270 SHA256 c39fcb916b2f61160c4cdc1a79dc79fada57b4b29d88eb0ab9fd9ea0ba1489cb SHA512 4fff9a16c642213935a53cdd1caddbff304a1f426d16928dbc2dd225e227898f5e3bfc07438f1d525d47818c72f6202c802c5aa4a7236c25748079f3dc3b441c WHIRLPOOL 0cfda8495a8bc0f8f02c0a0a207299c8f9e196a4cdc2065ffccf0b20175669f138d102e7bfcb295077950b5f6f1de06759d5b3e58115622493857a9073f63d93
-DIST libseek-0.1.tar.gz 8299 SHA256 36665bc173164733ae9044789b14d4a2b4b4a46e675183f5c81c56534a82c142 SHA512 0d0e219b2af25eef19f3be2a3943141f0dd28194fdc41762b0f03adf7b30df883a10ba7a5b57a68537a2b81afc05c11aa3e1b080b97aab2401a291c69c27d34c WHIRLPOOL 223756a887389129f16ea017691b049c9034d5365c8e9b711103c3275f7f9a7d17398d28cd89d1abeabe3813565a30c348ea3e367d35f6e6c1c3acab1c5c9f24
-DIST waf-1.8.5 96280 SHA256 05d6cae3a48ff0646bbf748d60926e53ec3947f353333ff977a95d738031023e SHA512 b1f0d0d4b745ef791b46bdd8430634a3621ebb5d8b4a3158fb55493c932eda33743ebd3ffc2023a030a6df5eee6c406f5b8b7e2a562c52e0d6a16b2328418dc0 WHIRLPOOL d2d5cdd5717feec55604b00fc06adcbf4b929d26b5a1951bf53e4ddffedb9029bcc7a98578b2f3ceb410d3d500c2675c5d527aa37b7bd608267ff61a1e3b72d6
-EBUILD libseek-0.1.ebuild 843 SHA256 477ae434b88a9f2c7fbd56dc161f148877832bd743b5d51eb92cb85a99f9846a SHA512 c3ddd9bdf3e839e1ed70a0c115c41606c96a9914f19b49ad7cb8572976ad8abbf7ec18e0771af5ffe2bdc49bd16cfaa727ec1a3fee9f4303a0fdd13da6a02e99 WHIRLPOOL 207fb70045c2053a0ff8ac40d58924786e775c66423381747d632beb4fa612dc6a959b7521fc0bdac7f12e68f13902e554cf0a58c7f39836a133e53835f675db
diff --git a/media-libs/libseek/files/libseek-0.1-shared_library.patch b/media-libs/libseek/files/libseek-0.1-shared_library.patch
deleted file mode 100644
index 14073d1..0000000
--- a/media-libs/libseek/files/libseek-0.1-shared_library.patch
+++ /dev/null
@@ -1,13 +0,0 @@
-diff --git a/wscript b/wscript
-index 5074799c35c7..77fbc4e4935e 100644
---- a/wscript
-+++ b/wscript
-@@ -19,7 +19,7 @@ def configure(conf):
- def build(bld):
- bld(
- target='seek',
-- features='cxx cxxstlib',
-+ features='cxx cxxshlib',
- source=[
- 'seek.cpp',
- ],
diff --git a/media-libs/libseek/libseek-0.1.ebuild b/media-libs/libseek/libseek-0.1.ebuild
deleted file mode 100644
index 6ac45d3..0000000
--- a/media-libs/libseek/libseek-0.1.ebuild
+++ /dev/null
@@ -1,33 +0,0 @@
-EAPI="5"
-inherit waf-utils
-
-DESCRIPTION="Seek"
-HOMEPAGE="https://github.com/zougloub/libseek/"
-SRC_URI="https://github.com/zougloub/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz
-http://www.freehackers.org/~tnagy/release/waf-1.8.5"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-src_prepare() {
- cp "${DISTDIR}"/waf-1.8.5 waf
- chmod +x waf
-
- epatch "${FILESDIR}"/${P}-shared_library.patch
-}
-
-# note: libseek waf fails when passed --libdir:
-# waf: error: no such option: --libdir
-src_configure() {
- ${WAF_BINARY:="${S}/waf"}
-
- tc-export AR CC CPP CXX RANLIB
- echo "CCFLAGS=\"${CFLAGS}\" LINKFLAGS=\"${LDFLAGS}\" \"${WAF_BINARY}\" --prefix=${EPREFIX}/usr $@ configure"
-
- CCFLAGS="${CFLAGS}" LINKFLAGS="${LDFLAGS}" "${WAF_BINARY}" \
- "--prefix=${EPREFIX}/usr" \
- "$@" \
- configure || die "configure failed"
-}
diff --git a/media-libs/libsfml/Manifest b/media-libs/libsfml/Manifest
deleted file mode 100644
index 25e217d..0000000
--- a/media-libs/libsfml/Manifest
+++ /dev/null
@@ -1,4 +0,0 @@
-AUX libsfml-2.2-no-docs.patch 432 SHA256 7e31edbe092460b564c04882af42a6e7173dc01f5a21ba1ac9fe0c6b31892b59 SHA512 86c05d82af21ed440e313119d5296097c498030c9aca8f6516a2a47c4913d578064dab9bd1476735b84f00eacf009b21de378e41d1e59615c1098dfeb786b807 WHIRLPOOL 0d2320ea49558e2270603ba13c39d56bb0a50d8a9da4ed0d087b6ef5d485b8fab775ab38648cf07970160fff18602dc2c47f25941972a751b3e300aae17ca02a
-AUX libsfml-2.2-shared-glew.patch 388 SHA256 cd789d01cb500f681964f6d436faa8893a98ceceb7dba7b668bf41f885ef88e9 SHA512 c07c47e5adffc6c32668469e7ac5934d80865ad39e9503a896ad6d809eafcad6c8261a34677bb7da540fb6c803a1707168f4cf8e3630de50e30374e32471da51 WHIRLPOOL 1ef3d3c7fd83d125c4aaf560f3d53f360d853b3298a124bb4b2eb83b05b109972f61db6f3c5630917e94f741c5cf1ed57b485cd05051f87ffad10192e810f7e4
-DIST libsfml-2.2.tar.gz 17614806 SHA256 543458923e35868c16ac70e5f4c3bf172e136af9820d7b546b52afc7f1fc77f5 SHA512 cf30d431afc19d0f0126f2879dcb4c81ff4f689ce1e7aab5c3f156e8a88cdd54574e60de6230a9a5f7793a6c41ac81d811c68a9f1971ce079dada8d281ca34a3 WHIRLPOOL 8ba9c968840839295707e92281ecbc5d88b8900bab85dac0e1a4d66a0b8521bca0d5c6b0d1d330a1191aedf073f9633c7ce8f6dcbef9f84e3fac8c61d9c7c96e
-EBUILD libsfml-2.2-r1.ebuild 1522 SHA256 e059e8129b328aeb715827d182b7833e5a237e64b71a30bbed3f731d9c58a557 SHA512 5582dd60966e373c0bb3a9059e7b1f8c772179aaf49e923a3308404348768152258fb2fceb6c77375b23c931840adcb5624f1d6c9fd3319be21330ea2317eec7 WHIRLPOOL dbb4c125e01bd5c6c91eb9b77577de4aa2aa0a13a458cf647b7941f50fda3179351289d7ad3bf4fe94b534daa6ca09e709639f023c21dd08f72a0c7fc0231a59
diff --git a/media-libs/libsfml/files/libsfml-2.2-no-docs.patch b/media-libs/libsfml/files/libsfml-2.2-no-docs.patch
deleted file mode 100644
index ef69107..0000000
--- a/media-libs/libsfml/files/libsfml-2.2-no-docs.patch
+++ /dev/null
@@ -1,15 +0,0 @@
---- SFML-2.2/CMakeLists.txt
-+++ SFML-2.2/CMakeLists.txt
-@@ -239,12 +239,6 @@
- COMPONENT devel)
- endif()
-
--install(FILES license.txt DESTINATION ${INSTALL_MISC_DIR})
--install(FILES readme.txt DESTINATION ${INSTALL_MISC_DIR})
--if(NOT SFML_OS_ANDROID)
-- install(FILES cmake/Modules/FindSFML.cmake DESTINATION ${INSTALL_MISC_DIR}/cmake/Modules)
--endif()
--
- # install 3rd-party libraries and tools
- if(SFML_OS_WINDOWS)
-
diff --git a/media-libs/libsfml/files/libsfml-2.2-shared-glew.patch b/media-libs/libsfml/files/libsfml-2.2-shared-glew.patch
deleted file mode 100644
index 42c701e..0000000
--- a/media-libs/libsfml/files/libsfml-2.2-shared-glew.patch
+++ /dev/null
@@ -1,12 +0,0 @@
---- SFML-2.2/src/SFML/Graphics/CMakeLists.txt
-+++ SFML-2.2/src/SFML/Graphics/CMakeLists.txt
-@@ -150,9 +150,6 @@
- list(APPEND GRAPHICS_EXT_LIBS ${FREETYPE_LIBRARY} ${JPEG_LIBRARY})
-
- # add preprocessor symbols
--if(NOT SFML_OPENGL_ES)
-- add_definitions(-DGLEW_STATIC)
--endif()
- add_definitions(-DSTBI_FAILURE_USERMSG)
-
- # ImageLoader.cpp must be compiled with the -fno-strict-aliasing
diff --git a/media-libs/libsfml/libsfml-2.2-r1.ebuild b/media-libs/libsfml/libsfml-2.2-r1.ebuild
deleted file mode 100644
index d3a0a0b..0000000
--- a/media-libs/libsfml/libsfml-2.2-r1.ebuild
+++ /dev/null
@@ -1,65 +0,0 @@
-# Copyright 1999-2015 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Header: /var/cvsroot/gentoo-x86/media-libs/libsfml/libsfml-2.2.ebuild,v 1.2 2015/03/22 16:32:17 radhermit Exp $
-
-EAPI=5
-
-inherit cmake-utils eutils
-
-MY_P=SFML-${PV}
-
-DESCRIPTION="Simple and Fast Multimedia Library (SFML)"
-HOMEPAGE="http://www.sfml-dev.org/ https://github.com/LaurentGomila/SFML"
-SRC_URI="https://github.com/LaurentGomila/SFML/archive/${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="ZLIB"
-SLOT="0"
-KEYWORDS="~amd64 ~x86"
-IUSE="debug doc examples"
-
-RDEPEND="media-libs/freetype:2
- media-libs/glew:=
- media-libs/libpng:0=
- media-libs/libsndfile
- media-libs/mesa
- media-libs/openal
- sys-libs/zlib
- virtual/jpeg
- virtual/udev
- x11-libs/libX11
- x11-libs/libXrandr"
-DEPEND="${RDEPEND}
- doc? ( app-doc/doxygen )"
-
-DOCS="changelog.txt readme.txt"
-
-S=${WORKDIR}/${MY_P}
-
-src_prepare() {
- epatch "${FILESDIR}"/${P}-no-docs.patch
- epatch "${FILESDIR}"/${P}-shared-glew.patch
-
- sed -i "s:DESTINATION .*:DESTINATION /usr/share/doc/${PF}:" \
- doc/CMakeLists.txt || die
-}
-
-src_configure() {
- local mycmakeargs=(
- $(cmake-utils_use doc SFML_BUILD_DOC)
- -DSFML_INSTALL_PKGCONFIG_FILES=true
- )
- cmake-utils_src_configure
-}
-
-src_install() {
- cmake-utils_src_install
-
- insinto /usr/share/cmake/Modules
- doins cmake/Modules/FindSFML.cmake
-
- if use examples ; then
- docompress -x /usr/share/doc/${PF}/examples
- dodoc -r examples
- find "${ED}"/usr/share/doc/${PF}/examples -name CMakeLists.txt -delete
- fi
-}
diff --git a/media-sound/pavucontrol/Manifest b/media-sound/pavucontrol/Manifest
deleted file mode 100644
index 53e856e..0000000
--- a/media-sound/pavucontrol/Manifest
+++ /dev/null
@@ -1,3 +0,0 @@
-AUX pavucontrol-segfault.patch 7437 SHA256 7502d564db65c83b4def1bb59c4cc143f615cb96da36e84a3d18604df1b90467 SHA512 f5cfdc8731867bcda61a38ccd30a91b2dc3e28106bae7300254957e6e1f8fd8806322c9bd90b7bd2f269aa6190203c1b9f545c18bd5b3f11c3f2d6983f114aac WHIRLPOOL cd6eaf1e3e0dc57e1db5326a148e517c08fe7fd2e379b8647cbfffc341f33c8ae2d66528ecd75d2d55dc2dd3b8d54e50b97dbf854849021c54c66ceee25197aa
-DIST pavucontrol-2.0.tar.xz 151560 SHA256 22f29dd81b4a1a34ec7bffe9b027aff2a37fc76ccded4539d43a8c126a39470b SHA512 2b5f83f79cf6d7ce94bd836278274acb09824af389f5a7ad7d3977db743d8f9c5def78d8e9dea901a7e05355cfebb060f513cf01997baf546fd2dd2ada0513b1 WHIRLPOOL f85b60363ea30aee976e4e704bddf607b4e18ea9f9041d63acd0dc36183e103435f37c70e3b55b6327b7b1c7291c898b8167742cbcc7c47ebcd83a10f790d33c
-EBUILD pavucontrol-2.0-r1.ebuild 1057 SHA256 d682852dcf588bb78e13703f317ccbd9ea9497f91ff235b192fd87f9f5bc755a SHA512 3170cf19bcc112d13b766c294b7bbb44845febc1287a3d85ac82621c9cff1893673f09e5dd05f4dc8f4e4602437a6dfd8506767975cbb43be19c2a54d2fda4dd WHIRLPOOL 007bed38502d1ef1384b070e5ec875952f6d1de713ae174337b4e8e5d2e6755b712c5bbdae906cdfdc0fa8b8ee30ef58da7001a51d6c26aaf2ff454dd2848dba
diff --git a/media-sound/pavucontrol/files/pavucontrol-segfault.patch b/media-sound/pavucontrol/files/pavucontrol-segfault.patch
deleted file mode 100644
index 0689290..0000000
--- a/media-sound/pavucontrol/files/pavucontrol-segfault.patch
+++ /dev/null
@@ -1,188 +0,0 @@
-From b2362f2223b1ecc0c92fac9d261d5684f33af06f Mon Sep 17 00:00:00 2001
-From: Hans de Goede <hdegoede@redhat.com>
-Date: Thu, 28 Aug 2014 12:58:05 +0200
-Subject: Reference the widget before returning it from ::create methods
-
-Widgets (unlike Windows and Dialogs) returned by Gtk::Builder::get_widget*
-start owned by the GtkBuilder object, the idea being that they will get
-added to a container before the scope of the GtkBuilder object ends, and it
-thus automatically gets destroyed.
-
-But in the various ::create methods in pavucontrol, a pointer to the widget
-gets returned, so that it can be added to a cointainer by the caller.
-However as soon as the ::create method exits the GtkBuilder object owning
-the widget, and thus also the widget gets destroyed, and we end up returning
-free-ed memory.
-
-This commit fixes this by making all ::create methods take a reference on
-the widget before returning it, and having all the callers unreference the
-widget after adding it to a container.
-
-https://bugs.freedesktop.org/show_bug.cgi?id=83144
-https://bugzilla.redhat.com/show_bug.cgi?id=1133339
-
-Signed-off-by: Hans de Goede <hdegoede@redhat.com>
-
-diff --git a/src/cardwidget.cc b/src/cardwidget.cc
-index c79ac6c..28c558d 100644
---- a/src/cardwidget.cc
-+++ b/src/cardwidget.cc
-@@ -45,6 +45,7 @@ CardWidget* CardWidget::create() {
- CardWidget* w;
- Glib::RefPtr<Gtk::Builder> x = Gtk::Builder::create_from_file(GLADE_FILE, "cardWidget");
- x->get_widget_derived("cardWidget", w);
-+ w->reference();
- return w;
- }
-
-diff --git a/src/channelwidget.cc b/src/channelwidget.cc
-index 6f59de2..fe94c11 100644
---- a/src/channelwidget.cc
-+++ b/src/channelwidget.cc
-@@ -53,6 +53,7 @@ ChannelWidget* ChannelWidget::create() {
- x->add_from_file(GLADE_FILE, "adjustment1");
- x->add_from_file(GLADE_FILE, "channelWidget");
- x->get_widget_derived("channelWidget", w);
-+ w->reference();
- return w;
- }
-
-diff --git a/src/devicewidget.cc b/src/devicewidget.cc
-index 1a148ee..813780f 100644
---- a/src/devicewidget.cc
-+++ b/src/devicewidget.cc
-@@ -89,6 +89,7 @@ void DeviceWidget::setChannelMap(const pa_channel_map &m, bool can_decibel) {
- snprintf(text, sizeof(text), "<b>%s</b>", pa_channel_position_to_pretty_string(m.map[i]));
- cw->channelLabel->set_markup(text);
- channelsVBox->pack_start(*cw, false, false, 0);
-+ cw->unreference();
- }
- channelWidgets[m.channels-1]->last = true;
-
-diff --git a/src/mainwindow.cc b/src/mainwindow.cc
-index 5a42318..5d205fb 100644
---- a/src/mainwindow.cc
-+++ b/src/mainwindow.cc
-@@ -300,6 +300,7 @@ void MainWindow::updateCard(const pa_card_info &info) {
- else {
- cardWidgets[info.index] = w = CardWidget::create();
- cardsVBox->pack_start(*w, false, false, 0);
-+ w->unreference();
- w->index = info.index;
- is_new = true;
- }
-@@ -416,6 +417,7 @@ bool MainWindow::updateSink(const pa_sink_info &info) {
- sinkWidgets[info.index] = w = SinkWidget::create(this);
- w->setChannelMap(info.channel_map, !!(info.flags & PA_SINK_DECIBEL_VOLUME));
- sinksVBox->pack_start(*w, false, false, 0);
-+ w->unreference();
- w->index = info.index;
- w->monitor_index = info.monitor_source;
- is_new = true;
-@@ -570,6 +572,7 @@ void MainWindow::updateSource(const pa_source_info &info) {
- sourceWidgets[info.index] = w = SourceWidget::create(this);
- w->setChannelMap(info.channel_map, !!(info.flags & PA_SOURCE_DECIBEL_VOLUME));
- sourcesVBox->pack_start(*w, false, false, 0);
-+ w->unreference();
- w->index = info.index;
- is_new = true;
-
-@@ -686,6 +689,7 @@ void MainWindow::updateSinkInput(const pa_sink_input_info &info) {
- sinkInputWidgets[info.index] = w = SinkInputWidget::create(this);
- w->setChannelMap(info.channel_map, true);
- streamsVBox->pack_start(*w, false, false, 0);
-+ w->unreference();
- w->index = info.index;
- w->clientIndex = info.client;
- is_new = true;
-@@ -743,6 +747,7 @@ void MainWindow::updateSourceOutput(const pa_source_output_info &info) {
- w->setChannelMap(info.channel_map, true);
- #endif
- recsVBox->pack_start(*w, false, false, 0);
-+ w->unreference();
- w->index = info.index;
- w->clientIndex = info.client;
- is_new = true;
-@@ -838,6 +843,7 @@ bool MainWindow::createEventRoleWidget() {
-
- eventRoleWidget = RoleWidget::create();
- streamsVBox->pack_start(*eventRoleWidget, false, false, 0);
-+ eventRoleWidget->unreference();
- eventRoleWidget->role = "sink-input-by-media-role:event";
- eventRoleWidget->setChannelMap(cm, true);
-
-diff --git a/src/rolewidget.cc b/src/rolewidget.cc
-index fd3196c..db07f92 100644
---- a/src/rolewidget.cc
-+++ b/src/rolewidget.cc
-@@ -40,6 +40,7 @@ RoleWidget* RoleWidget::create() {
- RoleWidget* w;
- Glib::RefPtr<Gtk::Builder> x = Gtk::Builder::create_from_file(GLADE_FILE, "streamWidget");
- x->get_widget_derived("streamWidget", w);
-+ w->reference();
- return w;
- }
-
-diff --git a/src/sinkinputwidget.cc b/src/sinkinputwidget.cc
-index b88b718..5a0ba39 100644
---- a/src/sinkinputwidget.cc
-+++ b/src/sinkinputwidget.cc
-@@ -43,6 +43,7 @@ SinkInputWidget* SinkInputWidget::create(MainWindow* mainWindow) {
- Glib::RefPtr<Gtk::Builder> x = Gtk::Builder::create_from_file(GLADE_FILE, "streamWidget");
- x->get_widget_derived("streamWidget", w);
- w->init(mainWindow);
-+ w->reference();
- return w;
- }
-
-diff --git a/src/sinkwidget.cc b/src/sinkwidget.cc
-index 7f4902c..f682cf2 100644
---- a/src/sinkwidget.cc
-+++ b/src/sinkwidget.cc
-@@ -82,6 +82,7 @@ SinkWidget* SinkWidget::create(MainWindow* mainWindow) {
- Glib::RefPtr<Gtk::Builder> x = Gtk::Builder::create_from_file(GLADE_FILE, "deviceWidget");
- x->get_widget_derived("deviceWidget", w);
- w->init(mainWindow, "sink");
-+ w->reference();
- return w;
- }
-
-diff --git a/src/sourceoutputwidget.cc b/src/sourceoutputwidget.cc
-index 827c5a8..4d915b0 100644
---- a/src/sourceoutputwidget.cc
-+++ b/src/sourceoutputwidget.cc
-@@ -49,6 +49,7 @@ SourceOutputWidget* SourceOutputWidget::create(MainWindow* mainWindow) {
- Glib::RefPtr<Gtk::Builder> x = Gtk::Builder::create_from_file(GLADE_FILE, "streamWidget");
- x->get_widget_derived("streamWidget", w);
- w->init(mainWindow);
-+ w->reference();
- return w;
- }
-
-diff --git a/src/sourcewidget.cc b/src/sourcewidget.cc
-index 5e4ecf0..fde5333 100644
---- a/src/sourcewidget.cc
-+++ b/src/sourcewidget.cc
-@@ -35,6 +35,7 @@ SourceWidget* SourceWidget::create(MainWindow* mainWindow) {
- Glib::RefPtr<Gtk::Builder> x = Gtk::Builder::create_from_file(GLADE_FILE, "deviceWidget");
- x->get_widget_derived("deviceWidget", w);
- w->init(mainWindow, "source");
-+ w->reference();
- return w;
- }
-
-diff --git a/src/streamwidget.cc b/src/streamwidget.cc
-index 94363ec..e602cce 100644
---- a/src/streamwidget.cc
-+++ b/src/streamwidget.cc
-@@ -77,6 +77,7 @@ void StreamWidget::setChannelMap(const pa_channel_map &m, bool can_decibel) {
- snprintf(text, sizeof(text), "<b>%s</b>", pa_channel_position_to_pretty_string(m.map[i]));
- cw->channelLabel->set_markup(text);
- channelsVBox->pack_start(*cw, false, false, 0);
-+ cw->unreference();
- }
- channelWidgets[m.channels-1]->last = true;
- channelWidgets[m.channels-1]->setBaseVolume(PA_VOLUME_NORM);
---
-cgit v0.10.2
-
diff --git a/media-sound/pavucontrol/pavucontrol-2.0-r1.ebuild b/media-sound/pavucontrol/pavucontrol-2.0-r1.ebuild
deleted file mode 100644
index 06b2f00..0000000
--- a/media-sound/pavucontrol/pavucontrol-2.0-r1.ebuild
+++ /dev/null
@@ -1,43 +0,0 @@
-# Copyright 1999-2014 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Header: /var/cvsroot/gentoo-x86/media-sound/pavucontrol/pavucontrol-2.0.ebuild,v 1.5 2014/08/03 18:32:51 ago Exp $
-
-EAPI=5
-inherit eutils
-
-DESCRIPTION="Pulseaudio Volume Control, GTK based mixer for Pulseaudio"
-HOMEPAGE="http://freedesktop.org/software/pulseaudio/pavucontrol/"
-SRC_URI="http://freedesktop.org/software/pulseaudio/${PN}/${P}.tar.xz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 ppc ~ppc64 ~sparc x86 ~x86-fbsd"
-IUSE="nls"
-
-RDEPEND="
- >=dev-cpp/gtkmm-3.0:3.0
- >=dev-libs/libsigc++-2.2:2
- >=media-libs/libcanberra-0.16[gtk3]
- >=media-sound/pulseaudio-3[glib]
- virtual/freedesktop-icon-theme
-"
-DEPEND="${RDEPEND}
- virtual/pkgconfig
- nls? (
- dev-util/intltool
- sys-devel/gettext
- )
-"
-
-src_prepare() {
- #Fixes reference segfault, bug #530170
- epatch "${FILESDIR}/pavucontrol-segfault.patch"
-}
-
-src_configure() {
- econf \
- --docdir=/usr/share/doc/${PF} \
- --htmldir=/usr/share/doc/${PF}/html \
- --disable-lynx \
- $(use_enable nls)
-}
diff --git a/net-dns/noip-updater/Manifest b/net-dns/noip-updater/Manifest
deleted file mode 100644
index 67f3cae..0000000
--- a/net-dns/noip-updater/Manifest
+++ /dev/null
@@ -1,6 +0,0 @@
-AUX noip-2.1.9-daemon.patch 533 SHA256 45dd37bae7a896780a9631cd2ffd9c910d37b0459b87dab915d75337a958ce8b SHA512 11fbdcdb8f07e8b83af3251046339c20e6fb223224648e9bf42eb75f33b63a44a5ce1124bcd3a1bda53c8c596449da8b0032824ad5a1e28c275aa4ff131412a9 WHIRLPOOL ec5ff858c975e3715a35abe9efe0416469a2ae7f7f4bf7571cf6828a64c1a2931bee1af840fa3108f319472bde0faae278e3d5e52b96cbfdb507662f415295c2
-AUX noip-2.1.9-flags.patch 400 SHA256 2d5bd08f1e2bf3697b75358ccb9ff0e39414d5abe22644dd1129fc24100af769 SHA512 b76952235e968fbb1e68b5b80ebbec1280549f043ea5717fa8c5c3e880770c93615f33c113620da12b987c3c7afabcb1b47159614df6decd06946b84670ca457 WHIRLPOOL e63883edbd478c73a932548d225d7787dd6df16571fcaa14b250b840a003f97d08a7c6cff849a8151acb4dccd61cdaf0da82177e5de0b958e467ffea5284534c
-AUX noip.service 219 SHA256 eec7288052cd3c2963926fb511d48c89d92ceec6a3d9dd056a51665fd209937d SHA512 75c9e96adcb9da935ff78f121ad5082b8ebe48aa7191ec5134370bfddfa2a6a9abf153e1de96d302cde3fb6f2896077ff035110090444f50df191530705c3268 WHIRLPOOL e650af87b2947b7aa2df455614de423640ca18d8b6655e6e8ff8d8ae24c157887695e811d1260ad04cf8d9eacfafcce3169cbc4a90ceb5ea8cc97c1fc488311b
-AUX noip2.start 776 SHA256 a9846d645f033108e440cbf85fd7bb5dd43d8155aa2afb6dba6ce5b5958cb940 SHA512 28707a90bb490cb404f539c9cdb00106ab793c4e624868204b16e79a8c31931438e60d83653d561b19d1c310bcc05cfb04ec75fc2c9aafd5ddcd60fba50ec5d0 WHIRLPOOL f1eaa464231974996cb97c07e29349c0b871e4506e02339853f2d8fbe815191cd01b1b1470fe779f3db180c438dcafa9df733528163038bf3e5bb41ef14c2bef
-DIST noip-2.1.9.tar.gz 152995 SHA256 86ad0a6362263a33f59a658d1b1ce428e255556ddad0d062aa0ee4b7f412f98a SHA512 3e76d39e22383dc3eceee10f8bdf791fc5ed253c756cd2d345fdd8fb9c9ab3bac6a1a6ca123a26cebbf6e615bb8f236c58d4ecf6254fcaf6b92da17f42f0dd6f WHIRLPOOL 4a737490fdf8be0bc6f708c7f73d2025f62a8e7c043e6259ee34738a5ed1b78d34c4243b58f7f61bb7afcf05d9e786b7f80b16bb641be59f5a5a5bd0929adee3
-EBUILD noip-updater-2.1.9-r1.ebuild 1203 SHA256 3b748019dc6c7aaaff3da12c924407def40f9c7075cd2544007175b39a0bd23e SHA512 afb94817c2931c2d6e2004039e050497c57f4b67997ce9cf51dac38fbd390d4a917ebe38605a6116d97cfab63b2309727595c4cd65c56829f429d5fe6ccc3ff0 WHIRLPOOL 2e49d5b5052155f2ff30ba6cd64870740116061b78ddba6ec3038576ca5a7eb901a8b81a0c4c40c7796689b7a9e04e81dc609f33936e3bab4a43256b8e68a30a
diff --git a/net-dns/noip-updater/files/noip-2.1.9-daemon.patch b/net-dns/noip-updater/files/noip-2.1.9-daemon.patch
deleted file mode 100644
index b2fb4e6..0000000
--- a/net-dns/noip-updater/files/noip-2.1.9-daemon.patch
+++ /dev/null
@@ -1,21 +0,0 @@
-diff -ur a/noip2.c b/noip2.c
---- a/noip2.c 2004-01-09 14:18:39.000000000 +0000
-+++ b/noip2.c 2007-07-20 14:02:41.100377515 +0100
-@@ -895,16 +895,13 @@
- char *err_string;
- static int startup = 1;
-
-- x = fork();
-+ x = daemon (0, 0);
- switch (x) {
- case -1: // error
- err_string = strerror(errno);
- Msg( "Can't fork!! (%s) Ending!\n", err_string);
- return FATALERR;
-- default: // parent
-- exit(0);
- case 0: //child
-- setsid();
- if (get_shm_info() == FATALERR)
- return FATALERR;
- log2syslog++;
diff --git a/net-dns/noip-updater/files/noip-2.1.9-flags.patch b/net-dns/noip-updater/files/noip-2.1.9-flags.patch
deleted file mode 100644
index 9fe05f3..0000000
--- a/net-dns/noip-updater/files/noip-2.1.9-flags.patch
+++ /dev/null
@@ -1,11 +0,0 @@
---- a/Makefile 2006-09-07 09:52:55.000000000 +0200
-+++ b/Makefile 2006-09-07 09:54:54.000000000 +0200
-@@ -21,7 +21,7 @@
- # ARCH=sun
-
- ${TGT}: Makefile ${TGT}.c
-- ${CC} -Wall -g -D${ARCH} -DPREFIX=\"${PREFIX}\" ${TGT}.c -o ${TGT} ${LIBS}
-+ ${CC} ${CFLAGS} -D${ARCH} -DPREFIX=\"${PREFIX}\" ${TGT}.c -o ${TGT} ${LIBS} ${LDFLAGS}
-
- install: ${TGT}
- if [ ! -d ${BINDIR} ]; then mkdir -p ${BINDIR};fi
diff --git a/net-dns/noip-updater/files/noip.service b/net-dns/noip-updater/files/noip.service
deleted file mode 100644
index b4834e8..0000000
--- a/net-dns/noip-updater/files/noip.service
+++ /dev/null
@@ -1,12 +0,0 @@
-[Unit]
-Description=No-IP Dynamic DNS Update Client
-Require=network-online.target
-After=network-online.target
-
-[Service]
-Type=forking
-ExecStart=/usr/sbin/noip2 -c /etc/no-ip2.conf
-
-[Install]
-WantedBy=multi-user.target
-
diff --git a/net-dns/noip-updater/files/noip2.start b/net-dns/noip-updater/files/noip2.start
deleted file mode 100644
index 2330ee6..0000000
--- a/net-dns/noip-updater/files/noip2.start
+++ /dev/null
@@ -1,34 +0,0 @@
-#!/sbin/runscript
-
-depend() {
- need localmount
- need net
- use dns
-}
-
-checkconfig() {
- if [ ! -f /etc/no-ip2.conf ]
- then
- einfo "Answer the following questions about your no-ip account."
- noip2 -C || return 1
- fi
-}
-
-start() {
- checkconfig || return 1
- ebegin "Starting noip"
- start-stop-daemon --quiet --start -x /usr/sbin/noip2 -- -c /etc/no-ip2.conf
- eend $? "noip did not start, error code $?"
-}
-
-stop() {
- ebegin "Stopping noip"
- start-stop-daemon --quiet --stop -x /usr/sbin/noip2
- noip_ecode=$?
- eend $noip_ecode "Error stopping the noip daemon, error $noip_ecode"
- checkconfig || return 1
- ebegin "Setting noip addresses to 0.0.0.0"
- noip2 -c /etc/no-ip2.conf -i 0.0.0.0 >& /dev/null
- eend $? "Failed to set noip addresses to 0.0.0.0, error $?"
- return $noip_ecode
-}
diff --git a/net-dns/noip-updater/noip-updater-2.1.9-r1.ebuild b/net-dns/noip-updater/noip-updater-2.1.9-r1.ebuild
deleted file mode 100644
index 493e086..0000000
--- a/net-dns/noip-updater/noip-updater-2.1.9-r1.ebuild
+++ /dev/null
@@ -1,56 +0,0 @@
-# Copyright 1999-2014 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Id$
-
-EAPI=5
-inherit eutils readme.gentoo systemd toolchain-funcs
-
-MY_P=${P/-updater/}
-DESCRIPTION="no-ip.com dynamic DNS updater"
-HOMEPAGE="http://www.no-ip.com"
-SRC_URI="http://www.no-ip.com/client/linux/${MY_P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="alpha amd64 ~arm ~hppa ia64 ~mips ~ppc ppc64 sparc x86"
-IUSE=""
-
-RDEPEND=""
-DEPEND="sys-devel/gcc"
-
-S=${WORKDIR}/${MY_P}
-
-DOC_CONTENTS="
- Configuration can be done manually via /usr/sbin/noip2 -C or
- by using this ebuild's config option.
-"
-
-src_prepare() {
- epatch "${FILESDIR}"/noip-2.1.9-flags.patch
- epatch "${FILESDIR}"/noip-2.1.9-daemon.patch
- sed -i \
- -e "s:\(#define CONFIG_FILEPATH\).*:\1 \"/etc\":" \
- -e "s:\(#define CONFIG_FILENAME\).*:\1 \"/etc/no-ip2.conf\":" \
- noip2.c || die "sed failed"
-}
-
-src_compile() {
- emake \
- CC=$(tc-getCC) \
- PREFIX=/usr \
- CONFDIR=/etc
-}
-
-src_install() {
- dosbin noip2
- dodoc README.FIRST
- newinitd "${FILESDIR}"/noip2.start noip
- systemd_dounit "${FILESDIR}"/noip.service
- readme.gentoo_create_doc
-}
-
-pkg_config() {
- cd /tmp
- einfo "Answer the following questions."
- noip2 -C || die
-}
diff --git a/net-libs/libndp/Manifest b/net-libs/libndp/Manifest
deleted file mode 100644
index 4679a1c..0000000
--- a/net-libs/libndp/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST libndp-1.4.tar.gz 337802 SHA256 3a0be247ec024bd72fe5b40ea9491519c4023c7faf078311196fab817b2fd55f SHA512 a84d4d6672bf83bcf865eef8986e7bbcc49587686c5085a5ce5f346791223ede0e60db3b990055afc4f0005182aa1b5546c459306d2955f95d00ffb68bd58766 WHIRLPOOL 7c5a25b7bcde139bc7d194b07a53ea1fa4bc57caa2e5d6ccb93a6b89d47141706b040030e7124058f1f58f9538e558f5b86547bcd93380ca8ab8747b177e48c9
-EBUILD libndp-1.4.ebuild 650 SHA256 76426222a0ea15a1006ca0fc078b8cec725c29caff1fb420c53b5116f6646375 SHA512 2ee3386b929658f51a232c5bbde087ec0bb2d65ba59147b01bc93ff0d7fce865aa50232117fde81068620b84f930c9b3423f7179e23e2294d860ebbe0e8c7dff WHIRLPOOL ee227ec0b6d2cf9807a0e353b0686472842284180ae7581485a065bf758fe7461b8b74932f428ab5472509ab273b33691940bd8f3a1f1cc7dfcf6296b89004ad
diff --git a/net-libs/libndp/libndp-1.4.ebuild b/net-libs/libndp/libndp-1.4.ebuild
deleted file mode 100644
index 792f633..0000000
--- a/net-libs/libndp/libndp-1.4.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2015 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Header: /var/cvsroot/gentoo-x86/net-libs/libndp/libndp-1.4.ebuild,v 1.14 2015/03/15 11:55:25 pacho Exp $
-
-EAPI=5
-GCONF_DEBUG="no"
-
-inherit gnome2 multilib-minimal
-
-DESCRIPTION="Library for Neighbor Discovery Protocol"
-HOMEPAGE="http://libndp.org"
-SRC_URI="http://libndp.org/files/${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-IUSE="debug"
-
-KEYWORDS="alpha amd64 arm ~arm64 ia64 ppc ppc64 sparc x86"
-
-DEPEND=""
-RDEPEND="${DEPEND}"
-
-multilib_src_configure() {
- ECONF_SOURCE="${S}" \
- gnome2_src_configure \
- --disable-static \
- --enable-logging
-}
diff --git a/net-nds/yp-tools/Manifest b/net-nds/yp-tools/Manifest
deleted file mode 100644
index 4597b62..0000000
--- a/net-nds/yp-tools/Manifest
+++ /dev/null
@@ -1,5 +0,0 @@
-AUX domainname.service 165 SHA256 905079200c0ec5fb4585a46ba72c432d2246b0c14cf8792b762d8a95f0ef4e0d SHA512 dc6cc79a1e6636f31706901bec45adc479231c883e149748989c038d4a9e2d3b1e15e3ba45ca7276700c68600cf6489b92bc4ada0c8ba630921a6d8cb8368de6 WHIRLPOOL 74ead6f4f5468fe2843d74f16ac5a567a9e2214348e55d3d6d847f3ae8ccbd197c24127782040348c5245c8ac9626e4ebc4bc771919a28a238aa8927025b45fc
-AUX domainname.service.conf 89 SHA256 424a1fadf7387150a30df3eaa19e057f7162aa1d2564da9fd0273e1b7dc50b76 SHA512 529f85f41e8204cc3dda72eb3c94c81443b8aa9f5af1ce4cab4510cbc42da79a4554d223893f0a968afb4476898b8a74ea061f8e9f40518b3066584ea9c7ad6c WHIRLPOOL 5a3d246b48eaa01ef2379f29c3af6724a3c657af8f14cd5aa47aab728448edb70361f1d72f944140e396f6322228fa8249a6ce3c44a6c4e7dba1950d2bf637ed
-AUX yp-tools-2.12-libc_lock.patch 384 SHA256 c2f9d7f70cf35d8c98683cae33d88fdeaed7c0c397a087af4bb9e861e80b1718 SHA512 377a0cd5fad3560524bf89543372ac9be3a11eb2d17c02602b9159a5e9f1bb0dc211b5aa6dc23de84ecea116d285ed1d51103c7ad1392314c597da913f6273f7 WHIRLPOOL e63cde4fc1525b02be9d878629d972836172fb8d8bd9824e01804d6d6e74b5d0e3b6bf4f08fbec4fe9ad6b29b56976c7238c518ec868aade0b23e809a45b9875
-DIST yp-tools-2.12.tar.bz2 204228 SHA256 6ae8321666eea7837da343eea90ea30273fb74943ad111d5a4befd2afb252063 SHA512 0b62f63d65c7a53e715fb5749c4a7e71cf85e4006fa5e1ec39290e740301d5a8d321007461bb7a6b3bd1aaac283d8b028fa07814e77bd75e13dfd761f74c9218 WHIRLPOOL b4b89857024cc2aa42e6e9dabea25ea81da641d00fbeb3202cd53e81065384d9b1a685e8e0eed547622f4a0649d625cf3ea35217deef7c19536e120813d5b1c4
-EBUILD yp-tools-2.12-r1.ebuild 989 SHA256 b5564bf1343a2e7e301053e6733bacc2f6b15aeec2c18523db76738de21adb1a SHA512 5cbf6d64872bf3f0eab01f03f6728c8bc63c891811ce42fff58e4d373d15c2d740e716da86b1c9f83b868e170f0b7dd7a2860572280a49d61ff1654c2e2177e2 WHIRLPOOL 38469af477726d9909627aed8f29ae357b51d15d9f3a8963add8dd207288dd59d466b32bc647c05dae39dde9d468133c7f6f086285e75d9a822ac5ebe0a6a187
diff --git a/net-nds/yp-tools/files/domainname.service b/net-nds/yp-tools/files/domainname.service
deleted file mode 100644
index 8f170ad..0000000
--- a/net-nds/yp-tools/files/domainname.service
+++ /dev/null
@@ -1,10 +0,0 @@
-[Unit]
-Description=NIS Domainname
-
-[Service]
-Type=oneshot
-ExecStart=/usr/bin/nisdomainname $NISDOMAINNAME
-RemainAfterExit=true
-
-[Install]
-WantedBy=multi-user.target
diff --git a/net-nds/yp-tools/files/domainname.service.conf b/net-nds/yp-tools/files/domainname.service.conf
deleted file mode 100644
index 592de3b..0000000
--- a/net-nds/yp-tools/files/domainname.service.conf
+++ /dev/null
@@ -1,3 +0,0 @@
-# NIS domain to be set by domainname.service
-[Service]
-Environment="NISDOMAINNAME=local"
diff --git a/net-nds/yp-tools/files/yp-tools-2.12-libc_lock.patch b/net-nds/yp-tools/files/yp-tools-2.12-libc_lock.patch
deleted file mode 100644
index a19f84c..0000000
--- a/net-nds/yp-tools/files/yp-tools-2.12-libc_lock.patch
+++ /dev/null
@@ -1,11 +0,0 @@
-diff -Nur yp-tools-2.12.orig/src/ypclnt.c yp-tools-2.12/src/ypclnt.c
---- yp-tools-2.12.orig/src/ypclnt.c 2015-12-08 14:38:44.646551727 -0800
-+++ yp-tools-2.12/src/ypclnt.c 2015-12-08 14:38:57.786490599 -0800
-@@ -32,7 +32,6 @@
- #include <rpcsvc/ypupd.h>
- #include <sys/socket.h>
- #include <sys/uio.h>
--#include <bits/libc-lock.h>
-
- #define __set_errno(err) errno=err;
- #define _(S) S
diff --git a/net-nds/yp-tools/yp-tools-2.12-r1.ebuild b/net-nds/yp-tools/yp-tools-2.12-r1.ebuild
deleted file mode 100644
index c5da761..0000000
--- a/net-nds/yp-tools/yp-tools-2.12-r1.ebuild
+++ /dev/null
@@ -1,46 +0,0 @@
-# Copyright 1999-2014 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Id$
-
-EAPI=5
-
-inherit eutils systemd
-
-DESCRIPTION="Network Information Service tools"
-HOMEPAGE="http://www.linux-nis.org/nis/"
-SRC_URI="ftp://ftp.kernel.org/pub/linux/utils/net/NIS/${P}.tar.bz2"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="alpha amd64 ~arm hppa ia64 ~mips ppc ppc64 sparc x86"
-IUSE="nls"
-
-src_prepare() {
- epatch "${FILESDIR}/${P}-libc_lock.patch"
-}
-
-src_configure() {
- local myconf="--sysconfdir=/etc/yp"
- if ! use nls
- then
- myconf="${myconf} --disable-nls"
- mkdir intl
- touch intl/libintl.h
- export CPPFLAGS="${CPPFLAGS} -I${S}"
-
- for i in lib/nicknames.c src/*.c
- do
- cp ${i} ${i}.orig
- sed 's:<libintl.h>:<intl/libintl.h>:' \
- ${i}.orig > ${i}
- done
- fi
- econf ${myconf}
-}
-
-src_install() {
- default
- insinto /etc/yp ; doins etc/nicknames
- systemd_dounit "${FILESDIR}/domainname.service"
- systemd_install_serviced "${FILESDIR}"/domainname.service.conf
-}
diff --git a/sci-electronics/chisel-torture/Manifest b/sci-electronics/chisel-torture/Manifest
deleted file mode 100644
index e62c99c..0000000
--- a/sci-electronics/chisel-torture/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST chisel-torture-0.0.2.tar.gz 18702 SHA256 4e2d8798a26692679d37a537079dfe1fc437a23bdb4d392e7f7dba6246a67d85 SHA512 6800128c81f3cd54e140e4a0b98bcf98146b0a4ff8eb236f6ee3db82c40555bd2ef1ba98c99ecb712d6820ea6a6f6a7f1a44fa1afc1e43cdea4f706b4c10a821 WHIRLPOOL 5de477a49a5143d9f05d456df886868426315a0b9dad434ff8f336084f377586b9f6ff63f29442d996541d652fcd5758934eff7b528600c8993c385efa8b440c
-EBUILD chisel-torture-0.0.2.ebuild 657 SHA256 4d470ec0b1316b1ebba0baa1ca6f63b17b5c3508d1918a64ccd54b2951e0eacb SHA512 b2f111d041143638f9dabe1f0f819d23cad052d282ead9480356857c8e9d11256e069041b7acc134009d901504e6a7cc03d1548ba12ce3faa5203363971c90b3 WHIRLPOOL f9ab70b9d8d00912fdc789c4f7972321e1ab3ba2efdc2c6dea22063f12f4820903a3c87415f47193285d666bbac31db76eadb4e1e3cfe852ada509229ad2b458
diff --git a/sci-electronics/chisel-torture/chisel-torture-0.0.2.ebuild b/sci-electronics/chisel-torture/chisel-torture-0.0.2.ebuild
deleted file mode 100644
index 0d7c01e..0000000
--- a/sci-electronics/chisel-torture/chisel-torture-0.0.2.ebuild
+++ /dev/null
@@ -1,31 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="A random Chisel graph generator"
-HOMEPAGE="http://dabbelt.com/~palmer/software/${PN}/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure
- sci-electronics/libflo:=
- dev-libs/gmp[cxx]"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
-
- pconfigure --verbose || die "pconfigure failed"
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/chisel/Manifest b/sci-electronics/chisel/Manifest
deleted file mode 100644
index 43d6298..0000000
--- a/sci-electronics/chisel/Manifest
+++ /dev/null
@@ -1,14 +0,0 @@
-AUX chisel-2.2.20-Wall.patch 2863 SHA256 276b28b1c19f3ee78a05760a19cc15899f30cc16226f96417ef5f6f31afe3835 SHA512 63772839438b307a683d9a48cf8e788137302d109e44d2547c2344e1313da6c1f546ac3e23125ab9c8a4a192537a77cf746a98e08d97c398f19e5d4c695d30f2 WHIRLPOOL a7f0dae24386ab3c0c84367992d87409535f4f216061d54a42c82dac9bf4c32779b51bd012425c3c050e6fb3884a4f9c08576088d3d506a1f63975f55983694f
-AUX chisel-2.2.27-copy_to_target.patch 598 SHA256 0706b3d681ea8a2918dc25ddd41fafb45cca37cbcc75cf464861bab62560190d SHA512 31b8a194ee923cac74d16354d0f94f476c0ba3e9e38a7a97eea0d6110e4e217b4db0187ad9af19b3f702f94585afe76a090c08b1c6947a9199aa94357664e732 WHIRLPOOL 63ac76837e09f11dcebaec774109196e1eb029100cd5a5ad00a00e0985259b4abe673ae42fb04284fd9415ec6c517b07cdada2b3d02ecdd9bdf61d27d116f8c7
-DIST chisel-2.2.17.tar.gz 17048024 SHA256 4f7f429003125789a3d7b2315a49b51b67ad8978704a88c40d12ee0130f608f3 SHA512 02e22ef423b9ca11e696082ade7ba09273d63c7a37daa25909199b7ac06e31a1a2dea3be16161fbd9a4a5667743e970c26879dbd8205d1eff167e03fb5fa72b5 WHIRLPOOL 274bb8bc44994812971e746297362e294021214af30f734cf50bc96b9229056703ad1949032aee75569ca0eeab1d3efd31611c2da74ae515cdf04aab9acdcf65
-DIST chisel-2.2.19.tar.gz 17599586 SHA256 9ce566e17391d4750d7c4475764f4c2b52312bd110f05da6e9800b631915add6 SHA512 7338ce9c2fc0558bd8441f5a82f3be6302a4600cda4adbf1e2d78fe47a68a53cfbdbd1c8f7e86430b0c542b69a525f2286e703f866b3c1bb803f574842a1350b WHIRLPOOL 7fed27f48ab52be38fd4bf7f47b857fb0cfdef555af320546898264cac9f87136de1ac333b94fd2b60c54991657abf7396d9e9acb84af317f0e95e74477210ac
-DIST chisel-2.2.20.tar.gz 17608710 SHA256 c1d13af4f68f25882c01ce8a46444ebe6e18365e9c535eeb53279f7920906cbd SHA512 a893d94244dac713d16cce8e7b369af5e6018836a988a177b009ef6783096d7c5c5e396bc4de0684bfc233b405b9b28f76a8dcb15cd7d9bb8a6460fda6de6314 WHIRLPOOL 6fff7744a82562eb5d52bb550807f268bce85104a569609d7f519e5de3fddd9344032f855d63865a3773515f1561a0d2e9f6420fee2f5374a7bc5e0c9870b1a5
-DIST chisel-2.2.22.tar.gz 17610199 SHA256 d98eb8ff5ab211482e76b626f0e017b5bcf291fc3de2067f1ee37c8157325395 SHA512 d20a8b4b98edd2cda6cd7da0b3f7f08e063258ee5b37bf237fffa5cbefba99d498675b327da51472fdcec57c6be98382122cb3bdb73fd04f88aea02651c9f5ec WHIRLPOOL 2270ce11c36ef53ef013cf0da378b0ea88525834267cc2ef1946341b5a4d2858c5da4537a4283d1b15e86c0201eaf537cd6e6012bd6290651ff0bdf00ed9423e
-DIST chisel-2.2.27.tar.gz 17624697 SHA256 a4c344f9666058db4bd3f7093d02762c46314ab15b8091f6a47b4331ccb8baec SHA512 1d0da75c5ba522e46c783d5f0d48d05fbe2bddd1f2d143b3f6c49ad4b457ade4ffb10913c2105c7ccd995895d3c6cdcf70ec26bbad7edac046f440e9a83a0c9d WHIRLPOOL c0962322fd96b6c3fecce4669cc03a48284f63e122bc98b0fc5fe8d3e2aa03ff6375f6e2e9060a393109b6023350c8ab3404ea50dbf744f34c66f054c701ebd5
-EBUILD chisel-2.2.17.ebuild 1419 SHA256 20cf7960a3a11c27d7106d1114241b9ede8efc690585db59ca901cf57639229c SHA512 6e72b45320c104c69d0950756401ca42c531495bc928e1eb12b29e06f57cf63bfcba857c79c9fcd2cba0c70fe6a93e5a08dc66728797276ef31a5df7c85cbafd WHIRLPOOL cefdac2a073227ebefa774d5a520c19bb46310f72c3becf7044234f473f0c65c2d21b2aa1e91e41daf890cba4548066c40ed8902dee5a55c104d686046d21e23
-EBUILD chisel-2.2.19-r1.ebuild 1419 SHA256 20cf7960a3a11c27d7106d1114241b9ede8efc690585db59ca901cf57639229c SHA512 6e72b45320c104c69d0950756401ca42c531495bc928e1eb12b29e06f57cf63bfcba857c79c9fcd2cba0c70fe6a93e5a08dc66728797276ef31a5df7c85cbafd WHIRLPOOL cefdac2a073227ebefa774d5a520c19bb46310f72c3becf7044234f473f0c65c2d21b2aa1e91e41daf890cba4548066c40ed8902dee5a55c104d686046d21e23
-EBUILD chisel-2.2.20-r1.ebuild 1483 SHA256 e13237af740e698ca76cbdeb766dce03b1715543163149fbc266dac1244a756d SHA512 b7fb298008837999a25e5ae9305b257210c42d0f0c78cc44cd85ce80b942725e877c30139cbf37535e67537d6da8bcbea94edb7c5255a744acc6bc2ee0046b1e WHIRLPOOL d34a88fab518c5675132634c51ab273ef57a657ec1c9d4958b4af1ca1cb9bb122b19944fb24f6894e27d802932b1689914d52605d1e05d00f3a30484d07bb2fb
-EBUILD chisel-2.2.20.ebuild 1419 SHA256 20cf7960a3a11c27d7106d1114241b9ede8efc690585db59ca901cf57639229c SHA512 6e72b45320c104c69d0950756401ca42c531495bc928e1eb12b29e06f57cf63bfcba857c79c9fcd2cba0c70fe6a93e5a08dc66728797276ef31a5df7c85cbafd WHIRLPOOL cefdac2a073227ebefa774d5a520c19bb46310f72c3becf7044234f473f0c65c2d21b2aa1e91e41daf890cba4548066c40ed8902dee5a55c104d686046d21e23
-EBUILD chisel-2.2.22.ebuild 1419 SHA256 20cf7960a3a11c27d7106d1114241b9ede8efc690585db59ca901cf57639229c SHA512 6e72b45320c104c69d0950756401ca42c531495bc928e1eb12b29e06f57cf63bfcba857c79c9fcd2cba0c70fe6a93e5a08dc66728797276ef31a5df7c85cbafd WHIRLPOOL cefdac2a073227ebefa774d5a520c19bb46310f72c3becf7044234f473f0c65c2d21b2aa1e91e41daf890cba4548066c40ed8902dee5a55c104d686046d21e23
-EBUILD chisel-2.2.27-r1.ebuild 1501 SHA256 0224c26f01b533ec8a5df2129467450aa29c3da98f60bb5da8115c38af2ab0e2 SHA512 321689cbbe394eda16ae7c92751afafa1b9bf0c7b6ee8577a53223d972426d4e4811261c9ec12556161d451ab4763f96be52cf3b25e81ad45b54b0d1cdc78bf9 WHIRLPOOL 29c47e2ef5516c2c6bbf43191e6ff5262e73904e34020c01dc75e0eb32f45ab2b4e8dd3bd81afcac401e6c6578fbc4b3b2c056cd8aa8bb801f9bf33a292b1f70
-EBUILD chisel-2.2.27.ebuild 1419 SHA256 20cf7960a3a11c27d7106d1114241b9ede8efc690585db59ca901cf57639229c SHA512 6e72b45320c104c69d0950756401ca42c531495bc928e1eb12b29e06f57cf63bfcba857c79c9fcd2cba0c70fe6a93e5a08dc66728797276ef31a5df7c85cbafd WHIRLPOOL cefdac2a073227ebefa774d5a520c19bb46310f72c3becf7044234f473f0c65c2d21b2aa1e91e41daf890cba4548066c40ed8902dee5a55c104d686046d21e23
diff --git a/sci-electronics/chisel/chisel-2.2.17.ebuild b/sci-electronics/chisel/chisel-2.2.17.ebuild
deleted file mode 100644
index a64c3cb..0000000
--- a/sci-electronics/chisel/chisel-2.2.17.ebuild
+++ /dev/null
@@ -1,73 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="A HDL"
-HOMEPAGE="http://github.com/ucb-bar/${PN}/"
-SRC_URI="https://github.com/ucb-bar/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="dev-java/sbt-bin
- dev-lang/scala"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- cat >Configfile <<EOF
-PREFIX = ${EPREFIX}/usr
-
-LANGUAGES += scala
-LANGUAGES += pkgconfig
-LANGUAGES += h
-LANGUAGES += bash
-
-LIBRARIES += libchisel.jar
-SOURCES += main/scala/Driver.scala
-
-LIBRARIES += pkgconfig/chisel.pc
-SOURCES += chisel.pc
-
-HEADERS += chisel/emulator.h
-SOURCES += main/resources/emulator.h
-
-HEADERS += chisel/emulator_mod.h
-SOURCES += main/resources/emulator_mod.h
-
-HEADERS += chisel/emulator_api.h
-SOURCES += main/resources/emulator_api.h
-
-BINARIES += chisel-hdrtar
-SOURCES += chisel-hdrtar.bash
-
-BINARIES += chisel-jargen
-SOURCES += chisel-jargen.bash
-EOF
-
- cat > src/chisel.pc <<EOF
-prefix=@@pconfigure_prefix@@
-exec_prefix=@@pconfigure_prefix@@
-libdir=\${prefix}/@@pconfigure_libdir@@
-includedir=\${prefix}/@@pconfigure_hdrdir@@
-
-Name: chisel
-Description: A HDL
-Version: $PV
-Libs: -lchisel
-Cflags: -I\${includedir}/chisel
-URL: http://github.com/ucb-bar/chisel
-EOF
-
- cat >src/chisel-jargen.bash <<EOF
-cat ${EPREFIX}/usr/lib/libchisel.jar
-EOF
-
- cat >src/chisel-hdrtar.bash <<EOF
-tar -C ${EPREFIX}/usr/include/chisel -c .
-EOF
-
- pconfigure
-}
diff --git a/sci-electronics/chisel/chisel-2.2.19-r1.ebuild b/sci-electronics/chisel/chisel-2.2.19-r1.ebuild
deleted file mode 100644
index a64c3cb..0000000
--- a/sci-electronics/chisel/chisel-2.2.19-r1.ebuild
+++ /dev/null
@@ -1,73 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="A HDL"
-HOMEPAGE="http://github.com/ucb-bar/${PN}/"
-SRC_URI="https://github.com/ucb-bar/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="dev-java/sbt-bin
- dev-lang/scala"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- cat >Configfile <<EOF
-PREFIX = ${EPREFIX}/usr
-
-LANGUAGES += scala
-LANGUAGES += pkgconfig
-LANGUAGES += h
-LANGUAGES += bash
-
-LIBRARIES += libchisel.jar
-SOURCES += main/scala/Driver.scala
-
-LIBRARIES += pkgconfig/chisel.pc
-SOURCES += chisel.pc
-
-HEADERS += chisel/emulator.h
-SOURCES += main/resources/emulator.h
-
-HEADERS += chisel/emulator_mod.h
-SOURCES += main/resources/emulator_mod.h
-
-HEADERS += chisel/emulator_api.h
-SOURCES += main/resources/emulator_api.h
-
-BINARIES += chisel-hdrtar
-SOURCES += chisel-hdrtar.bash
-
-BINARIES += chisel-jargen
-SOURCES += chisel-jargen.bash
-EOF
-
- cat > src/chisel.pc <<EOF
-prefix=@@pconfigure_prefix@@
-exec_prefix=@@pconfigure_prefix@@
-libdir=\${prefix}/@@pconfigure_libdir@@
-includedir=\${prefix}/@@pconfigure_hdrdir@@
-
-Name: chisel
-Description: A HDL
-Version: $PV
-Libs: -lchisel
-Cflags: -I\${includedir}/chisel
-URL: http://github.com/ucb-bar/chisel
-EOF
-
- cat >src/chisel-jargen.bash <<EOF
-cat ${EPREFIX}/usr/lib/libchisel.jar
-EOF
-
- cat >src/chisel-hdrtar.bash <<EOF
-tar -C ${EPREFIX}/usr/include/chisel -c .
-EOF
-
- pconfigure
-}
diff --git a/sci-electronics/chisel/chisel-2.2.20-r1.ebuild b/sci-electronics/chisel/chisel-2.2.20-r1.ebuild
deleted file mode 100644
index fe13cf7..0000000
--- a/sci-electronics/chisel/chisel-2.2.20-r1.ebuild
+++ /dev/null
@@ -1,77 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="A HDL"
-HOMEPAGE="http://github.com/ucb-bar/${PN}/"
-SRC_URI="https://github.com/ucb-bar/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="dev-java/sbt-bin
- dev-lang/scala"
-
-DEPEND="${RDEPEND}"
-
-src_prepare() {
- epatch "${FILESDIR}/${P}-Wall.patch"
-}
-
-src_configure() {
- cat >Configfile <<EOF
-PREFIX = ${EPREFIX}/usr
-
-LANGUAGES += scala
-LANGUAGES += pkgconfig
-LANGUAGES += h
-LANGUAGES += bash
-
-LIBRARIES += libchisel.jar
-SOURCES += main/scala/Driver.scala
-
-LIBRARIES += pkgconfig/chisel.pc
-SOURCES += chisel.pc
-
-HEADERS += chisel/emulator.h
-SOURCES += main/resources/emulator.h
-
-HEADERS += chisel/emulator_mod.h
-SOURCES += main/resources/emulator_mod.h
-
-HEADERS += chisel/emulator_api.h
-SOURCES += main/resources/emulator_api.h
-
-BINARIES += chisel-hdrtar
-SOURCES += chisel-hdrtar.bash
-
-BINARIES += chisel-jargen
-SOURCES += chisel-jargen.bash
-EOF
-
- cat > src/chisel.pc <<EOF
-prefix=@@pconfigure_prefix@@
-exec_prefix=@@pconfigure_prefix@@
-libdir=\${prefix}/@@pconfigure_libdir@@
-includedir=\${prefix}/@@pconfigure_hdrdir@@
-
-Name: chisel
-Description: A HDL
-Version: $PV
-Libs: -lchisel
-Cflags: -I\${includedir}/chisel
-URL: http://github.com/ucb-bar/chisel
-EOF
-
- cat >src/chisel-jargen.bash <<EOF
-cat ${EPREFIX}/usr/lib/libchisel.jar
-EOF
-
- cat >src/chisel-hdrtar.bash <<EOF
-tar -C ${EPREFIX}/usr/include/chisel -c .
-EOF
-
- pconfigure
-}
diff --git a/sci-electronics/chisel/chisel-2.2.20.ebuild b/sci-electronics/chisel/chisel-2.2.20.ebuild
deleted file mode 100644
index a64c3cb..0000000
--- a/sci-electronics/chisel/chisel-2.2.20.ebuild
+++ /dev/null
@@ -1,73 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="A HDL"
-HOMEPAGE="http://github.com/ucb-bar/${PN}/"
-SRC_URI="https://github.com/ucb-bar/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="dev-java/sbt-bin
- dev-lang/scala"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- cat >Configfile <<EOF
-PREFIX = ${EPREFIX}/usr
-
-LANGUAGES += scala
-LANGUAGES += pkgconfig
-LANGUAGES += h
-LANGUAGES += bash
-
-LIBRARIES += libchisel.jar
-SOURCES += main/scala/Driver.scala
-
-LIBRARIES += pkgconfig/chisel.pc
-SOURCES += chisel.pc
-
-HEADERS += chisel/emulator.h
-SOURCES += main/resources/emulator.h
-
-HEADERS += chisel/emulator_mod.h
-SOURCES += main/resources/emulator_mod.h
-
-HEADERS += chisel/emulator_api.h
-SOURCES += main/resources/emulator_api.h
-
-BINARIES += chisel-hdrtar
-SOURCES += chisel-hdrtar.bash
-
-BINARIES += chisel-jargen
-SOURCES += chisel-jargen.bash
-EOF
-
- cat > src/chisel.pc <<EOF
-prefix=@@pconfigure_prefix@@
-exec_prefix=@@pconfigure_prefix@@
-libdir=\${prefix}/@@pconfigure_libdir@@
-includedir=\${prefix}/@@pconfigure_hdrdir@@
-
-Name: chisel
-Description: A HDL
-Version: $PV
-Libs: -lchisel
-Cflags: -I\${includedir}/chisel
-URL: http://github.com/ucb-bar/chisel
-EOF
-
- cat >src/chisel-jargen.bash <<EOF
-cat ${EPREFIX}/usr/lib/libchisel.jar
-EOF
-
- cat >src/chisel-hdrtar.bash <<EOF
-tar -C ${EPREFIX}/usr/include/chisel -c .
-EOF
-
- pconfigure
-}
diff --git a/sci-electronics/chisel/chisel-2.2.22.ebuild b/sci-electronics/chisel/chisel-2.2.22.ebuild
deleted file mode 100644
index a64c3cb..0000000
--- a/sci-electronics/chisel/chisel-2.2.22.ebuild
+++ /dev/null
@@ -1,73 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="A HDL"
-HOMEPAGE="http://github.com/ucb-bar/${PN}/"
-SRC_URI="https://github.com/ucb-bar/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="dev-java/sbt-bin
- dev-lang/scala"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- cat >Configfile <<EOF
-PREFIX = ${EPREFIX}/usr
-
-LANGUAGES += scala
-LANGUAGES += pkgconfig
-LANGUAGES += h
-LANGUAGES += bash
-
-LIBRARIES += libchisel.jar
-SOURCES += main/scala/Driver.scala
-
-LIBRARIES += pkgconfig/chisel.pc
-SOURCES += chisel.pc
-
-HEADERS += chisel/emulator.h
-SOURCES += main/resources/emulator.h
-
-HEADERS += chisel/emulator_mod.h
-SOURCES += main/resources/emulator_mod.h
-
-HEADERS += chisel/emulator_api.h
-SOURCES += main/resources/emulator_api.h
-
-BINARIES += chisel-hdrtar
-SOURCES += chisel-hdrtar.bash
-
-BINARIES += chisel-jargen
-SOURCES += chisel-jargen.bash
-EOF
-
- cat > src/chisel.pc <<EOF
-prefix=@@pconfigure_prefix@@
-exec_prefix=@@pconfigure_prefix@@
-libdir=\${prefix}/@@pconfigure_libdir@@
-includedir=\${prefix}/@@pconfigure_hdrdir@@
-
-Name: chisel
-Description: A HDL
-Version: $PV
-Libs: -lchisel
-Cflags: -I\${includedir}/chisel
-URL: http://github.com/ucb-bar/chisel
-EOF
-
- cat >src/chisel-jargen.bash <<EOF
-cat ${EPREFIX}/usr/lib/libchisel.jar
-EOF
-
- cat >src/chisel-hdrtar.bash <<EOF
-tar -C ${EPREFIX}/usr/include/chisel -c .
-EOF
-
- pconfigure
-}
diff --git a/sci-electronics/chisel/chisel-2.2.27-r1.ebuild b/sci-electronics/chisel/chisel-2.2.27-r1.ebuild
deleted file mode 100644
index 2b06070..0000000
--- a/sci-electronics/chisel/chisel-2.2.27-r1.ebuild
+++ /dev/null
@@ -1,77 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="A HDL"
-HOMEPAGE="http://github.com/ucb-bar/${PN}/"
-SRC_URI="https://github.com/ucb-bar/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="dev-java/sbt-bin
- dev-lang/scala"
-
-DEPEND="${RDEPEND}"
-
-src_prepare() {
- epatch "${FILESDIR}/${PN}-2.2.27-copy_to_target.patch"
-}
-
-src_configure() {
- cat >Configfile <<EOF
-PREFIX = ${EPREFIX}/usr
-
-LANGUAGES += scala
-LANGUAGES += pkgconfig
-LANGUAGES += h
-LANGUAGES += bash
-
-LIBRARIES += libchisel.jar
-SOURCES += main/scala/Driver.scala
-
-LIBRARIES += pkgconfig/chisel.pc
-SOURCES += chisel.pc
-
-HEADERS += chisel/emulator.h
-SOURCES += main/resources/emulator.h
-
-HEADERS += chisel/emulator_mod.h
-SOURCES += main/resources/emulator_mod.h
-
-HEADERS += chisel/emulator_api.h
-SOURCES += main/resources/emulator_api.h
-
-BINARIES += chisel-hdrtar
-SOURCES += chisel-hdrtar.bash
-
-BINARIES += chisel-jargen
-SOURCES += chisel-jargen.bash
-EOF
-
- cat > src/chisel.pc <<EOF
-prefix=@@pconfigure_prefix@@
-exec_prefix=@@pconfigure_prefix@@
-libdir=\${prefix}/@@pconfigure_libdir@@
-includedir=\${prefix}/@@pconfigure_hdrdir@@
-
-Name: chisel
-Description: A HDL
-Version: $PV
-Libs: -lchisel
-Cflags: -I\${includedir}/chisel
-URL: http://github.com/ucb-bar/chisel
-EOF
-
- cat >src/chisel-jargen.bash <<EOF
-cat ${EPREFIX}/usr/lib/libchisel.jar
-EOF
-
- cat >src/chisel-hdrtar.bash <<EOF
-tar -C ${EPREFIX}/usr/include/chisel -c .
-EOF
-
- pconfigure
-}
diff --git a/sci-electronics/chisel/chisel-2.2.27.ebuild b/sci-electronics/chisel/chisel-2.2.27.ebuild
deleted file mode 100644
index a64c3cb..0000000
--- a/sci-electronics/chisel/chisel-2.2.27.ebuild
+++ /dev/null
@@ -1,73 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="A HDL"
-HOMEPAGE="http://github.com/ucb-bar/${PN}/"
-SRC_URI="https://github.com/ucb-bar/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="dev-java/sbt-bin
- dev-lang/scala"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- cat >Configfile <<EOF
-PREFIX = ${EPREFIX}/usr
-
-LANGUAGES += scala
-LANGUAGES += pkgconfig
-LANGUAGES += h
-LANGUAGES += bash
-
-LIBRARIES += libchisel.jar
-SOURCES += main/scala/Driver.scala
-
-LIBRARIES += pkgconfig/chisel.pc
-SOURCES += chisel.pc
-
-HEADERS += chisel/emulator.h
-SOURCES += main/resources/emulator.h
-
-HEADERS += chisel/emulator_mod.h
-SOURCES += main/resources/emulator_mod.h
-
-HEADERS += chisel/emulator_api.h
-SOURCES += main/resources/emulator_api.h
-
-BINARIES += chisel-hdrtar
-SOURCES += chisel-hdrtar.bash
-
-BINARIES += chisel-jargen
-SOURCES += chisel-jargen.bash
-EOF
-
- cat > src/chisel.pc <<EOF
-prefix=@@pconfigure_prefix@@
-exec_prefix=@@pconfigure_prefix@@
-libdir=\${prefix}/@@pconfigure_libdir@@
-includedir=\${prefix}/@@pconfigure_hdrdir@@
-
-Name: chisel
-Description: A HDL
-Version: $PV
-Libs: -lchisel
-Cflags: -I\${includedir}/chisel
-URL: http://github.com/ucb-bar/chisel
-EOF
-
- cat >src/chisel-jargen.bash <<EOF
-cat ${EPREFIX}/usr/lib/libchisel.jar
-EOF
-
- cat >src/chisel-hdrtar.bash <<EOF
-tar -C ${EPREFIX}/usr/include/chisel -c .
-EOF
-
- pconfigure
-}
diff --git a/sci-electronics/chisel/files/chisel-2.2.20-Wall.patch b/sci-electronics/chisel/files/chisel-2.2.20-Wall.patch
deleted file mode 100644
index 4879a2e..0000000
--- a/sci-electronics/chisel/files/chisel-2.2.20-Wall.patch
+++ /dev/null
@@ -1,83 +0,0 @@
-commit 660bd0360e5ef0248201db744593a2d8b3b21c04
-Author: Palmer Dabbelt <palmer.dabbelt@eecs.berkeley.edu>
-Date: Mon Nov 24 10:36:14 2014 -0800
-
- Various -Wextra fixups
-
- It appears that some more errors have either creeped into the Chisel
- C++ header files or into GCC's "-Wextra -pedantic" set. This patch
- uses the same techniques as last time to hide these new errors.
-
-diff --git a/src/main/resources/emulator_api.h b/src/main/resources/emulator_api.h
-index 8f411da..b287469 100644
---- a/src/main/resources/emulator_api.h
-+++ b/src/main/resources/emulator_api.h
-@@ -4,6 +4,12 @@
-
- #include "emulator_mod.h"
-
-+#pragma GCC diagnostic push
-+#pragma GCC diagnostic ignored "-Wunused-function"
-+#pragma GCC diagnostic ignored "-Wsign-compare"
-+#pragma GCC diagnostic ignored "-Wunused-parameter"
-+#pragma GCC diagnostic ignored "-Wunused-variable"
-+
- #include <string>
- #include <sstream>
- #include <map>
-@@ -23,7 +29,7 @@ static std::string itos(int in) {
- * Copy one val_t array to another.
- * nb must be the exact number of bits the val_t represents.
- */
--static void val_cpy(val_t* dst, val_t* src, int nb) {
-+static __attribute__((unused)) void val_cpy(val_t* dst, val_t* src, int nb) {
- for (int i=0; i<val_n_words(nb); i++) {
- dst[i] = src[i];
- }
-@@ -44,7 +50,7 @@ static void val_empty(val_t* dst, int nb) {
- * is capped by the width of a single val_t element.
- * nb must be the exact number of bits the val_t represents.
- */
--static void val_set(val_t* dst, val_t nb, val_t num) {
-+static __attribute__((unused)) void val_set(val_t* dst, val_t nb, val_t num) {
- val_empty(dst, nb);
- dst[0] = num;
- }
-@@ -660,4 +666,6 @@ protected:
- std::map<std::string, mod_t*> snapshot_table;
- };
-
-+#pragma GCC diagnostic pop
-+
- #endif
-diff --git a/src/main/resources/emulator_mod.h b/src/main/resources/emulator_mod.h
-index 994ddba..3c76b6b 100644
---- a/src/main/resources/emulator_mod.h
-+++ b/src/main/resources/emulator_mod.h
-@@ -5,6 +5,7 @@
- #define __IS_EMULATOR_MOD__
-
- #pragma GCC diagnostic push
-+#pragma GCC diagnostic ignored "-Wpragmas"
- #pragma GCC diagnostic ignored "-Wunused-parameter"
- #pragma GCC diagnostic ignored "-Wsign-compare"
- #pragma GCC diagnostic ignored "-Wparentheses"
-@@ -13,6 +14,9 @@
- #pragma GCC diagnostic ignored "-Wtype-limits"
- #pragma GCC diagnostic ignored "-Wunused-function"
- #pragma GCC diagnostic ignored "-Wunused-variable"
-+#pragma GCC diagnostic ignored "-Wreorder"
-+#pragma GCC diagnostic ignored "-Wsometimes-uninitialized"
-+#pragma GCC diagnostic ignored "-pedantic"
-
- #include <assert.h>
- #include <inttypes.h>
-@@ -1564,7 +1568,7 @@ class mem_t {
- }
- };
-
--static char hex_to_char[] = "0123456789abcdef";
-+static __attribute__((unused)) char hex_to_char[] = "0123456789abcdef";
-
- static int char_to_hex[] = {
- -1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,
diff --git a/sci-electronics/chisel/files/chisel-2.2.27-copy_to_target.patch b/sci-electronics/chisel/files/chisel-2.2.27-copy_to_target.patch
deleted file mode 100644
index 557ae69..0000000
--- a/sci-electronics/chisel/files/chisel-2.2.27-copy_to_target.patch
+++ /dev/null
@@ -1,17 +0,0 @@
-diff --git a/src/main/scala/Cpp.scala b/src/main/scala/Cpp.scala
-index 575b1d875eb8..27520c23491d 100644
---- a/src/main/scala/Cpp.scala
-+++ b/src/main/scala/Cpp.scala
-@@ -1843,9 +1843,9 @@ class CppBackend extends Backend {
- }
- }
- /* Copy the emulator headers into the targetDirectory. */
-- copyToTarget("emulator_mod.h")
-- copyToTarget("emulator_api.h")
-- copyToTarget("emulator.h")
-+ //copyToTarget("emulator_mod.h")
-+ //copyToTarget("emulator_api.h")
-+ //copyToTarget("emulator.h")
- }
-
- // Return true if we want this node to be included in the main object.
diff --git a/sci-electronics/flo-llvm/Manifest b/sci-electronics/flo-llvm/Manifest
deleted file mode 100644
index b82c2dc..0000000
--- a/sci-electronics/flo-llvm/Manifest
+++ /dev/null
@@ -1,10 +0,0 @@
-DIST flo-llvm-0.0.14.tar.gz 73808 SHA256 9ac599212843fe6192e771cee47ba5fd32c403dfac171e10ade7e26a8330327c SHA512 2076a87166211034e9ffff1607e806b6385f7dca9bce2203181ed97271bc5e2e2c15c7a860ec034df6240325e0777c2240da64aaccc894f9f4b25c639c1bffbf WHIRLPOOL fcd529dc5814a5bed0a7a644ccab813daeef48f5294ae5f2962977fc9170c0e23a0233b3cac82c74b2ae23d2a05d483a6f009fa55cb31135e453090a8189c082
-DIST flo-llvm-0.0.15.tar.gz 73913 SHA256 59865f04ca0ca6fbbbe12a8e6389bceb0c5b2a862a43dc16dc9e525a57845f4b SHA512 e4e7ac019a6919c23721e15fe2bfcb689dee88ec7013d06f1d0be703abf831f5c53d0c83a48167b87cedb4ad9e1ac7f1c85ec5f5ecf555a7248270714df22934 WHIRLPOOL 31b04a22c598865b3af4a5a22c6e54b4698ba7805623879c5e0054037c00a2e6d700d600edd330ca950856084fd28b1ec95d9d1b73047619566fcd163834b08f
-DIST flo-llvm-0.0.18.tar.gz 74144 SHA256 10a34f9c02772809c1b96c0aa3809b1bea28bcfd510684730ab12c2a317a40dd SHA512 e253d3a4808c3d590729dd2f03214b0b5c2136947b3b5f82ed6e6ff7bd94bbbcb1c6e12ceb4b963f4306f03867b7a4f78cfd27564595ede0ce8b2d44c61670b2 WHIRLPOOL 89c88730b7fe8f65d25f0f33331d8fae05c4f384f384ec89ae0775b482dc2e19020b63ba07cd99d687104732f00039932cfc319cf926e6c2b95537d439f762d9
-DIST flo-llvm-0.0.19.tar.gz 74890 SHA256 4ec7f2a9e84ef81181f9921bd4cde72cc0a3aacb321490664bc2576c5ea05f6f SHA512 08e9c0c0332eaee0f1fda25d6620603b69e51c96641f822538f2783ec74fb20641f138b58e9ac83f903bb1ca0251106c3feb53b112d37cd4fddbdaf5188ac57e WHIRLPOOL 18cc547a7542b1172a06e2cc8a774ec6252a755ba0abfa5cb4b6cf3b3a6f2b1d8f9f7c859a52b879fb60691226a7b23ec58daffc7e65abca3b865429ca3fcc1f
-DIST flo-llvm-0.0.22.tar.gz 76976 SHA256 4b4d92069ce03120379a8157dd087e76db42b45796de62815e8e7e4929bd985a SHA512 b7200c51fe94a02a78979001721880a0cc1beb6c07f2cf0b8dd54b3f4ce9213bb4f30f5f5b110f7e8062a6376d0f38358a99e51939cf2ea2c827f9534062f51b WHIRLPOOL e02e541544be727a118f6ecf0fd4f7764493a338b0d48ffde1ddeb16424e1a0cea4a4b86521e6b1c90e33feb5eede26532738aaf8ebd161159bc741d65d558e3
-EBUILD flo-llvm-0.0.14.ebuild 680 SHA256 f57f3f83fc884298dd32e719f54ce3e910282490a41c0f53b311d9c9d4c9f80a SHA512 0d0331d48c5721876427d66019adb959c003b51830a045423e4801ddef8ffd7731ed5e775c36f26e5522effcb0db01d95ba163ebc5e9628f7f60e68524b83f47 WHIRLPOOL 775e5ddbe0de0f1d09f5802e54428cd764902fff8be604059fc55e061d4d8cce0a8fb06d210c6a5d4e992c90500f9b0174c6ea38d87d788d3d22274b248e3741
-EBUILD flo-llvm-0.0.15.ebuild 680 SHA256 f57f3f83fc884298dd32e719f54ce3e910282490a41c0f53b311d9c9d4c9f80a SHA512 0d0331d48c5721876427d66019adb959c003b51830a045423e4801ddef8ffd7731ed5e775c36f26e5522effcb0db01d95ba163ebc5e9628f7f60e68524b83f47 WHIRLPOOL 775e5ddbe0de0f1d09f5802e54428cd764902fff8be604059fc55e061d4d8cce0a8fb06d210c6a5d4e992c90500f9b0174c6ea38d87d788d3d22274b248e3741
-EBUILD flo-llvm-0.0.18.ebuild 688 SHA256 4204bd9e3f8008956ce697fc56b45363fc4ecb167d07a6cd6d3627579164ad43 SHA512 9f0a7d2cbab5d6c84e9355283b90df27b5c34fafcdb932601bddee8977391e761252595cbf193fede82a754278dd6095251b5c0679b600bee9fd37ec698de18f WHIRLPOOL 7bf8de276bdcf9429ef3e8037b5d3b8b321d92dfe9164c3d89df4bd1edf28ee47b02f86c188fdd0667c3041743fd7b2b83ebd37ba5ee2287a0edd6b3c6c8a477
-EBUILD flo-llvm-0.0.19.ebuild 688 SHA256 4204bd9e3f8008956ce697fc56b45363fc4ecb167d07a6cd6d3627579164ad43 SHA512 9f0a7d2cbab5d6c84e9355283b90df27b5c34fafcdb932601bddee8977391e761252595cbf193fede82a754278dd6095251b5c0679b600bee9fd37ec698de18f WHIRLPOOL 7bf8de276bdcf9429ef3e8037b5d3b8b321d92dfe9164c3d89df4bd1edf28ee47b02f86c188fdd0667c3041743fd7b2b83ebd37ba5ee2287a0edd6b3c6c8a477
-EBUILD flo-llvm-0.0.22.ebuild 688 SHA256 4204bd9e3f8008956ce697fc56b45363fc4ecb167d07a6cd6d3627579164ad43 SHA512 9f0a7d2cbab5d6c84e9355283b90df27b5c34fafcdb932601bddee8977391e761252595cbf193fede82a754278dd6095251b5c0679b600bee9fd37ec698de18f WHIRLPOOL 7bf8de276bdcf9429ef3e8037b5d3b8b321d92dfe9164c3d89df4bd1edf28ee47b02f86c188fdd0667c3041743fd7b2b83ebd37ba5ee2287a0edd6b3c6c8a477
diff --git a/sci-electronics/flo-llvm/flo-llvm-0.0.14.ebuild b/sci-electronics/flo-llvm/flo-llvm-0.0.14.ebuild
deleted file mode 100644
index 56f4b4d..0000000
--- a/sci-electronics/flo-llvm/flo-llvm-0.0.14.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="Converts Flo files to LLVM files"
-HOMEPAGE="http://dabbelt.com/~palmer/software/${PN}/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure
- sci-electronics/libflo:=
- sys-devel/llvm
- sys-devel/clang"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
-
- pconfigure --verbose || die "pconfigure failed"
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/flo-llvm/flo-llvm-0.0.15.ebuild b/sci-electronics/flo-llvm/flo-llvm-0.0.15.ebuild
deleted file mode 100644
index 56f4b4d..0000000
--- a/sci-electronics/flo-llvm/flo-llvm-0.0.15.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="Converts Flo files to LLVM files"
-HOMEPAGE="http://dabbelt.com/~palmer/software/${PN}/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure
- sci-electronics/libflo:=
- sys-devel/llvm
- sys-devel/clang"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
-
- pconfigure --verbose || die "pconfigure failed"
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/flo-llvm/flo-llvm-0.0.18.ebuild b/sci-electronics/flo-llvm/flo-llvm-0.0.18.ebuild
deleted file mode 100644
index 8a0912b..0000000
--- a/sci-electronics/flo-llvm/flo-llvm-0.0.18.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="Converts Flo files to LLVM files"
-HOMEPAGE="http://dabbelt.com/~palmer/software/${PN}/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND=">=sys-devel/pconfigure-0.9.4
- sci-electronics/libflo:=
- sys-devel/llvm
- sys-devel/clang"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
-
- pconfigure --verbose || die "pconfigure failed"
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/flo-llvm/flo-llvm-0.0.19.ebuild b/sci-electronics/flo-llvm/flo-llvm-0.0.19.ebuild
deleted file mode 100644
index 8a0912b..0000000
--- a/sci-electronics/flo-llvm/flo-llvm-0.0.19.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="Converts Flo files to LLVM files"
-HOMEPAGE="http://dabbelt.com/~palmer/software/${PN}/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND=">=sys-devel/pconfigure-0.9.4
- sci-electronics/libflo:=
- sys-devel/llvm
- sys-devel/clang"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
-
- pconfigure --verbose || die "pconfigure failed"
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/flo-llvm/flo-llvm-0.0.22.ebuild b/sci-electronics/flo-llvm/flo-llvm-0.0.22.ebuild
deleted file mode 100644
index 8a0912b..0000000
--- a/sci-electronics/flo-llvm/flo-llvm-0.0.22.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="Converts Flo files to LLVM files"
-HOMEPAGE="http://dabbelt.com/~palmer/software/${PN}/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND=">=sys-devel/pconfigure-0.9.4
- sci-electronics/libflo:=
- sys-devel/llvm
- sys-devel/clang"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
-
- pconfigure --verbose || die "pconfigure failed"
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/iverilog/Manifest b/sci-electronics/iverilog/Manifest
deleted file mode 100644
index a4b47c8..0000000
--- a/sci-electronics/iverilog/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST verilog-10.0.tar.gz 1683102 SHA256 179f09afbafb951bea28c9001b06ed8b9b2e54181092d48e343cb20f436b1185 SHA512 55ce2585dbb2f1afaaa03bfd31524db9f8730e2043f717728979357ee87758b5de61b96abc784feb6be44d537ea95182fae6408fffc140d95758750bf2d7ed99 WHIRLPOOL 5adb2c7ab97f86da70c086cb0ae45bab5974865d679472a0178b6de78f56d15e99ed4091a991266e4177ab5b25fdd7175818b48b15196ea006e2dfd8f97a24c9
-EBUILD iverilog-10.0.ebuild 1134 SHA256 17b4872270db91da0f4b444e0986a01bb168cacf7c3e9b2788da6c4cc531ccaa SHA512 8913629167401d862d0376ed5e1ac5d7022521356f9ad8a86c91fe07c6f3cf82dfc34f3a7009827e115e12dbfe7a3264a804daeb72381e9675c68fa557fa01bc WHIRLPOOL a2cf6f2a70dd7c4a67f86ad874af413386d77e7840959cbd76dfdb666b66f72015217458ef1743942546364e0647ca39f75f2c3978597aa257356d529dc740e8
diff --git a/sci-electronics/iverilog/iverilog-10.0.ebuild b/sci-electronics/iverilog/iverilog-10.0.ebuild
deleted file mode 100644
index e6e8623..0000000
--- a/sci-electronics/iverilog/iverilog-10.0.ebuild
+++ /dev/null
@@ -1,50 +0,0 @@
-# Copyright 1999-2014 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Id$
-
-EAPI=4
-
-inherit eutils multilib
-
-DESCRIPTION="A Verilog simulation and synthesis tool"
-SRC_URI="ftp://icarus.com/pub/eda/verilog/v${PV:0:2}/verilog-${PV}.tar.gz"
-HOMEPAGE="http://iverilog.icarus.com/"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="~amd64 ~ppc ~sparc ~x86"
-IUSE="examples"
-
-RDEPEND="app-arch/bzip2
- sys-libs/readline
- sys-libs/zlib"
-DEPEND="${RDEPEND}"
-
-S="${WORKDIR}/verilog-${PV}"
-
-src_prepare() {
- # Fix tests
- mkdir -p lib/ivl
- touch lib/ivl/ivl
- sed -i -e 's/driver\/iverilog -B./IVERILOG_ROOT="." driver\/iverilog -B./' Makefile.in || die
-
- # Fix LDFLAGS
- sed -i -e 's/@shared@/@shared@ $(LDFLAGS)/' {cadpli,tgt-vhdl,tgt-null,tgt-stub,tgt-vvp}/Makefile.in || die
-}
-
-src_install() {
- emake -j1 \
- prefix="${ED}"/usr \
- mandir="${ED}"/usr/share/man \
- infodir="${ED}"/usr/share/info \
- libdir="${ED}"/usr/$(get_libdir) \
- libdir64="${ED}"/usr/$(get_libdir) \
- vpidir="${ED}"/usr/$(get_libdir)/ivl \
- install
-
- dodoc *.txt
- if use examples ; then
- insinto /usr/share/doc/${PF}
- doins -r examples
- fi
-}
diff --git a/sci-electronics/libflo/Manifest b/sci-electronics/libflo/Manifest
deleted file mode 100644
index f235a89..0000000
--- a/sci-electronics/libflo/Manifest
+++ /dev/null
@@ -1,4 +0,0 @@
-DIST libflo-0.0.18.tar.gz 1480991 SHA256 a8f82f0156cedf120561ab5a7f8244ffa8fd8c1a13fd800a901a3719b32f9ac3 SHA512 256ade448d0b69baddfdd922dea22c181421a86afa223a2705f32104ac03c79538b5010be2c42a4703f641cb7752a33e01f779fd67ad37a785e757ef9b9f5c48 WHIRLPOOL 83355a72af449ab49f742ddc8c73c868ff690e5dbcddd18abc113769fb4ba8e61ef162a7b1659546d90b9b2366c2eb238c01db216361c4651e9450ad19c1b76c
-DIST libflo-0.0.19.tar.gz 1481060 SHA256 a736a4abd1ba1580ab3b36e6dfcf023ba40303b86f664b7de68d2e610fdf4ef8 SHA512 fc6e39938c3cba0e8e1b6cba5bffa6adcba91895eea5db20cb74974976350bcecfc4cda3dd403ed5ab19393d542bbbfea37fa5a2c1a8b16de239b21c0c51eaa7 WHIRLPOOL 5049eb01483ac03af4fc23dba639db1530de90493fa60e797d98d98f34fc9e68210f0b9d38dbab8d6104c368bdccfda3e063924e4b4996f783db7afccd01a6f5
-EBUILD libflo-0.0.18.ebuild 458 SHA256 83fd1981efe6bd843b482d4c0f677c852d8bacd7d242ab709ff4d1e86d6baa6a SHA512 cd7941c2da45966bf32943c46776455971c21d226c52f5cc22e35c4726261aa7c969afc46e7e174b64f4c27fc007d59286afb1d66fced82f18ed210f85d08dda WHIRLPOOL ed7ff17c51fa6fd24056514f4afea2719760ace140a4a2e94bb5a9f09c30a0616291d8fc9b51dd7386fe549a517d3c35d7d60733d3ef1488c73332b188d658ba
-EBUILD libflo-0.0.19.ebuild 458 SHA256 83fd1981efe6bd843b482d4c0f677c852d8bacd7d242ab709ff4d1e86d6baa6a SHA512 cd7941c2da45966bf32943c46776455971c21d226c52f5cc22e35c4726261aa7c969afc46e7e174b64f4c27fc007d59286afb1d66fced82f18ed210f85d08dda WHIRLPOOL ed7ff17c51fa6fd24056514f4afea2719760ace140a4a2e94bb5a9f09c30a0616291d8fc9b51dd7386fe549a517d3c35d7d60733d3ef1488c73332b188d658ba
diff --git a/sci-electronics/libflo/libflo-0.0.18.ebuild b/sci-electronics/libflo/libflo-0.0.18.ebuild
deleted file mode 100644
index d92c4cf..0000000
--- a/sci-electronics/libflo/libflo-0.0.18.ebuild
+++ /dev/null
@@ -1,24 +0,0 @@
-EAPI="5"
-
-DESCRIPTION="A Flo file format parser"
-HOMEPAGE="http://github.com/palmer-dabbelt/tek/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure
- sys-devel/make"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
- pconfigure
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/libflo/libflo-0.0.19.ebuild b/sci-electronics/libflo/libflo-0.0.19.ebuild
deleted file mode 100644
index d92c4cf..0000000
--- a/sci-electronics/libflo/libflo-0.0.19.ebuild
+++ /dev/null
@@ -1,24 +0,0 @@
-EAPI="5"
-
-DESCRIPTION="A Flo file format parser"
-HOMEPAGE="http://github.com/palmer-dabbelt/tek/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0/$PV"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure
- sys-devel/make"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
- pconfigure
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/synopsys-customdesigner/.synopsys-customdesigner-2014.12.2.ebuild.swp b/sci-electronics/synopsys-customdesigner/.synopsys-customdesigner-2014.12.2.ebuild.swp
deleted file mode 100644
index f738f95..0000000
--- a/sci-electronics/synopsys-customdesigner/.synopsys-customdesigner-2014.12.2.ebuild.swp
+++ /dev/null
Binary files differ
diff --git a/sci-electronics/synopsys-customdesigner/Manifest b/sci-electronics/synopsys-customdesigner/Manifest
deleted file mode 100644
index c208499..0000000
--- a/sci-electronics/synopsys-customdesigner/Manifest
+++ /dev/null
@@ -1,4 +0,0 @@
-DIST customdesigner_vJ-2014.12-SP2-2_SI32.tar 10240 SHA256 197370223987d2b6a755a3c1b672d85328b08ed9f068c537dbe4240234fe269f SHA512 f389afea0306ba20c291f6962c837e73d8420791a242a7461f8c07b60494e33d7ed6ee84dc845d31b3319923a6006f97ddd806eb8a3b7827a4a684b97566b24f WHIRLPOOL 416e3872dc6aece516686773dbbd0c938026ef289ab66a0aa1d91998b79dba0096824c120801a5cb6114e20f8c1e032047cf46de63b8afd3f192f049a52197cd
-DIST customdesigner_vJ-2014.12-SP2-2_amd64.spf 1135073280 SHA256 62090b3fcbdbed04d4ece6a8f6c2de4864d223c6a804f5c5fafd4d3e6bb92d36 SHA512 d4970437f15fb9827edf74132d99d2f2a9ed6e4cfbb0086f45c623c85687e93647f0395d27b35b27ba94199d28b10d7804d4210dde984f670e896f1884653e57 WHIRLPOOL 628d5bb04d3e6570bcec90c50af1438f6d59d12f1a7c48390f88a9e4da99aeb9bd28ae1328726f4811254354ab75e8c93f3c235fca1616caebc9abadff1bdfe8
-DIST customdesigner_vJ-2014.12-SP2-2_common.spf 640522240 SHA256 c1f489935c95281f9fed7459c033e7b12f17a5b17458cdeabb2bca20dbfea9d0 SHA512 700aa151e28d1f56ad1a7f7d9b0142134043ac63b04ad4d6f760f02dc2740553de55b94f186a8d748d8860eb59dd9157a21d98c6c493ea144ddc5483e97de1a5 WHIRLPOOL 1c76c90999bcef223bdeec0f9a59215752edf608ebda342e7290460f8f1983141bf982b6246cfe3cc00a2d38048321465878a5e7475f3ad2b0b4f04672e4f57d
-EBUILD synopsys-customdesigner-2014.12.2.ebuild 917 SHA256 be13fe7ab7c133d98ed5769bbed8c2273bf99fe6c019a94f8e3f69f133f3e217 SHA512 7e38f9e334a9828122091b91171686f7676714789e6ab0777072f269daace8636f75f35adcb623aabcc7be508b485679f3dd404d62052995b86ee8a8e9319d3c WHIRLPOOL d0c5b49d227189013a9f161b39dead7b467cda1d863c8333494679fcfa7e6485d149319e90769364e28aa1d8fbbd7539ec7fcc2e49817f6b0e5000a9bfc7cb1a
diff --git a/sci-electronics/synopsys-customdesigner/synopsys-customdesigner-2014.12.2.ebuild b/sci-electronics/synopsys-customdesigner/synopsys-customdesigner-2014.12.2.ebuild
deleted file mode 100644
index 87b8311..0000000
--- a/sci-electronics/synopsys-customdesigner/synopsys-customdesigner-2014.12.2.ebuild
+++ /dev/null
@@ -1,33 +0,0 @@
-EAPI=5
-inherit user
-
-DESCRIPTION="Synopsys's Software Installer"
-HOMEPAGE="http://www.synopsys.com/install"
-SRC_URI="customdesigner_vJ-2014.12-SP2-2_amd64.spf customdesigner_vJ-2014.12-SP2-2_SI32.tar customdesigner_vJ-2014.12-SP2-2_common.spf"
-RESTRICT="fetch strip"
-
-LICENSE=""
-SLOT="${PV}"
-KEYWORDS="~amd64"
-IUSE=""
-
-INSTALLER_VERSION="3.2"
-
-DEPEND="sci-electronics/synopsys-installer:${INSTALLER_VERSION}"
-RDEPEND="${DEPEND}"
-
-src_unpack() {
- mkdir -p "${S}"
- cd ${DISTDIR}
- cp --reflink=auto ${A} ${S}
-}
-
-src_install() {
- INSTALLER_HOME="${EPREFIX}/opt/synopsys/installer/${INSTALLER_VERSION}"
- PRODUCT="$(echo ${PN} | cut -d- -f2)"
- ${INSTALLER_HOME}/installer -install_as_root -batch_installer -source ${S} -target ${ED}/opt/synopsys
- test -d ${ED}/opt/synopsys/${PRODUCT} || die "installer failed"
-
- cd ${ED}/opt/synopsys/${PRODUCT}/*/amd64/OA/lib
- ln -s $(find * -maxdepth 0 -type d) unknown_64
-}
diff --git a/sci-electronics/synopsys-installer/Manifest b/sci-electronics/synopsys-installer/Manifest
deleted file mode 100644
index cbd3df2..0000000
--- a/sci-electronics/synopsys-installer/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST SynopsysInstaller_v3.2.run 12160218 SHA256 98de7a9f32d5a1deb3f0f2463e97f6c453540c6f6f9a6d8d4373754844e99037 SHA512 ce41e1259d25bc13d138b18848ed6418ad167944413ca1eae966eed65fe268aec2ffe418171045e5f27ac49a00ec69d9a83f3cafe8e55f74933490364b6a86df WHIRLPOOL c7d63c72239d7486184619c4e161a30ef181463aa723d3bc7f51597f3db318f56372f0ff480aecdc02fcf588a1ddca7f0189a15e8260187890e40a021f9dc126
-EBUILD synopsys-installer-3.2.ebuild 357 SHA256 eefdc2f23419fd1010d677251fca1370d23b12708b9d9330b8cc72738d314ad0 SHA512 e06299e6071161101c3869e539c4c250a6cd9f47baaef739145cdd7ec6b21fa90e0b92c2757ea359d2b1350646027d52456e4f49ef3394362aa0e9d608d0fab9 WHIRLPOOL d379948f4ca0632b0828e697903bb9d3d8645dc232aaba0925ad37404c1a0db1c39190913b79d1d393461744e3c1ef8ec06384432309b0f189b119375e0998c3
diff --git a/sci-electronics/synopsys-installer/synopsys-installer-3.2.ebuild b/sci-electronics/synopsys-installer/synopsys-installer-3.2.ebuild
deleted file mode 100644
index b3fd7dc..0000000
--- a/sci-electronics/synopsys-installer/synopsys-installer-3.2.ebuild
+++ /dev/null
@@ -1,22 +0,0 @@
-EAPI=5
-
-DESCRIPTION="Synopsys's Software Installer"
-HOMEPAGE="http://www.synopsys.com/install"
-SRC_URI="SynopsysInstaller_v3.2.run"
-RESTRICT="fetch strip"
-
-LICENSE=""
-SLOT="${PV}"
-KEYWORDS="~amd64"
-IUSE=""
-
-DEPEND=""
-RDEPEND="${DEPEND}"
-
-src_unpack() {
- mkdir -p "${S}"
-}
-
-src_install() {
- perl "${DISTDIR}/${A}" -dir ${ED}/opt/synopsys/installer/${PV}
-}
diff --git a/sci-electronics/synopsys-milkyway/Manifest b/sci-electronics/synopsys-milkyway/Manifest
deleted file mode 100644
index 46b993e..0000000
--- a/sci-electronics/synopsys-milkyway/Manifest
+++ /dev/null
@@ -1,4 +0,0 @@
-DIST mw_vK-2015.06-SP5-2_SI32.tar 10240 SHA256 61de3d9ea795e33f62a92b0cc23d73e47a7c10d11b8f48942c3b89b5d5b5aa17 SHA512 ec66065b5fa3cb434511a569a13034b4ef6c1191088b919b214b3fe9dc17ac9a65a0ae840d5abc2a8c2eafea3a25f8b5c0bee7ae0023083f71d30027a6d11c85 WHIRLPOOL 9827d45b461a8a974764fddaa5bdef2eb2278a1412e87d158239bd72533077f6f4d119cea24ba30b3d82d7c4472dcd2791ece1e59821e9beb8aa1702ecafcd5f
-DIST mw_vK-2015.06-SP5-2_common.spf 65894400 SHA256 18d39f7614ad13123ad7eeb4d31d4783969c38f9553edf5aaedc7128797b0af2 SHA512 27d3fd77d3d148a74b495c69cafb287c94fb1b0ffe7653f2f5c663881e8ccd66da6b25b65e6901bccded258df38aeda91d714488f27a516125381f16e088a439 WHIRLPOOL f87120aa4e4d75b5b8620f47c973d1ee84a699f398bf62515c93a9a242e57526987cb61499902e8be8179a462657b1c127ff16ba27c9263e2d90506195f5ba06
-DIST mw_vK-2015.06-SP5-2_linux64.spf 101949440 SHA256 fd78ce4ffd7349b944b0bcf9e2ee4e17e518331f73e9fa1fecd359468adc4023 SHA512 2834a8cada504741173983dc57192e55bf4181c8d44d97a3aebd087929b8d337cce93b73053d90442135d0578cec0daad8c422d1d315ccceff8bfb78cedfb07d WHIRLPOOL 4c45225db65fa967714c0aca1f657c152d21d429d0c15831e4323ea43d3cea375317169bcd62cfbdd6494a9be7683c7cd113bb5633a3b0a3e097a1d77a860995
-EBUILD synopsys-milkyway-2015.06.5.2.ebuild 743 SHA256 710419fc71cd40d16eae5c21849dd2b6853b2f594a304a21b37c37558d2c573e SHA512 1dbb4a23e6dcf9a47558e3417722e0d505a591220741d9f05d52af4f83cadf1f40b826ed223f8f76105e9e95633d260e6b2be5187a37478964a8762277aede54 WHIRLPOOL 5d89f7a6c3c74762891c90c6c3911f4b8bb1ebf5f8712b5859b5c79ec4a436549a41863fa1dad6075f675ebc620a78e9d16c0585f6d56b0c4820ab05c8fd5c3c
diff --git a/sci-electronics/synopsys-milkyway/synopsys-milkyway-2015.06.5.2.ebuild b/sci-electronics/synopsys-milkyway/synopsys-milkyway-2015.06.5.2.ebuild
deleted file mode 100644
index 3aaf290..0000000
--- a/sci-electronics/synopsys-milkyway/synopsys-milkyway-2015.06.5.2.ebuild
+++ /dev/null
@@ -1,30 +0,0 @@
-EAPI=5
-inherit user
-
-DESCRIPTION="Synopsys MilkyWay"
-HOMEPAGE="http://www.synopsys.com/milkyway"
-SRC_URI="mw_vK-2015.06-SP5-2_common.spf mw_vK-2015.06-SP5-2_linux64.spf mw_vK-2015.06-SP5-2_SI32.tar"
-RESTRICT="fetch strip"
-
-LICENSE=""
-SLOT="${PV}"
-KEYWORDS="~amd64"
-IUSE=""
-
-INSTALLER_VERSION="3.2"
-
-DEPEND="sci-electronics/synopsys-installer:${INSTALLER_VERSION}"
-RDEPEND="${DEPEND}"
-
-src_unpack() {
- mkdir -p "${S}"
- cd ${DISTDIR}
- cp --reflink=auto ${A} ${S}
-}
-
-src_install() {
- INSTALLER_HOME="${EPREFIX}/opt/synopsys/installer/${INSTALLER_VERSION}"
- PRODUCT=mw
- ${INSTALLER_HOME}/installer -install_as_root -batch_installer -source ${S} -target ${ED}/opt/synopsys
- test -d ${ED}/opt/synopsys/${PRODUCT} || die "installer failed"
-}
diff --git a/sci-electronics/synopsys-vcs/Manifest b/sci-electronics/synopsys-vcs/Manifest
deleted file mode 100644
index 845c4fa..0000000
--- a/sci-electronics/synopsys-vcs/Manifest
+++ /dev/null
@@ -1,4 +0,0 @@
-DIST vcs_vL-2016.06-1_common.spf 96727040 SHA256 22f90d443bf5dac1eafb3d8e5caf7a275936d4deb11aee19da598ea3f360444d SHA512 2755322b5ae7a1d124a0e6ce990715fa14f5c53ec30b163e827697fb9406d7228e2be7a23c519c41194a84d8ce8393a8b657d28c0c4baad72e0b19416a424b45 WHIRLPOOL 1c2385779384de6a6965d14fdf732cd11e85fb28561e92f9095990d8c1ae3e5688d9987a0c0a2492c319017736f543b98428e1c1972c61a8a8fd5f8aff07fc55
-DIST vcs_vL-2016.06-1_linux.spf 811530240 SHA256 d9a0addf116dffc8c17a4349907f00c7398380d5a50242a82e870f1e475ef7a5 SHA512 1fec41139cd88c115dd15288f52d4dbb508d0ac51451f5a6c1940802761a4f762ce4eb9c7584827ec3b4bac82ad38582acc828aa49b00a8323e72fa4d4e9ec0b WHIRLPOOL 914fcb2b3036cdf20f86c050336971a4494d881ac8308bae089a9351be98490cf0485aee9060a034d5740da645e27c7212d9d4c7a186723d6ff672b1c471e292
-DIST vcs_vL-2016.06-1_linux64.spf 820142080 SHA256 59975f6f20e28134888693bcdcbf3deef659897837a44bd946ef94dfcfd8147a SHA512 51b32013414f1e8beb9df870e3505110c2fbd9c81465ac68e4a626f7d0b504e9777d958af16996b8bd9881abdce5b5cf03cf48545dadb1368a7e93853d06fab5 WHIRLPOOL f249a870a0dbe5585ec158e7d69d4c8bd633ae9f6b936ac9ac9fee7ec763631365b58c937dda28a4f2ab2c05a56b563e79f77a264c439790e6ca959b5d1f9cf4
-EBUILD synopsys-vcs-2016.06.1.ebuild 726 SHA256 d45137b8c7782be802d1ab7f2bc623e6f598327d3bdce447ebfea861a6ad5bfb SHA512 e93f7c6e9023bd550e9b0d17ce3bd37e28d5a933792646d772cd95dd77f381b2c99063669fc96a509fee5d50ad75ef17f4fb95011aaad3acad9e21a966fb0e21 WHIRLPOOL e6978b9221802f96273f6aa98637fe08a3f6f4a8e233509c20f64758258454d41e45d24fc6b9ec77e3787be94f25ba6973b7aa299fd83c90795907d4d59c24ca
diff --git a/sci-electronics/synopsys-vcs/synopsys-vcs-2016.06.1.ebuild b/sci-electronics/synopsys-vcs/synopsys-vcs-2016.06.1.ebuild
deleted file mode 100644
index a349424..0000000
--- a/sci-electronics/synopsys-vcs/synopsys-vcs-2016.06.1.ebuild
+++ /dev/null
@@ -1,30 +0,0 @@
-EAPI=5
-inherit user
-
-DESCRIPTION="Synopsys VCS"
-HOMEPAGE="http://www.synopsys.com/vcs"
-SRC_URI="vcs_vL-2016.06-1_common.spf vcs_vL-2016.06-1_linux64.spf vcs_vL-2016.06-1_linux.spf"
-RESTRICT="fetch strip"
-
-LICENSE=""
-SLOT="${PV}"
-KEYWORDS="~amd64"
-IUSE=""
-
-INSTALLER_VERSION="3.2"
-
-DEPEND="sci-electronics/synopsys-installer:${INSTALLER_VERSION}"
-RDEPEND="${DEPEND}"
-
-src_unpack() {
- mkdir -p "${S}"
- cd ${DISTDIR}
- cp --reflink=auto ${A} ${S}
-}
-
-src_install() {
- INSTALLER_HOME="${EPREFIX}/opt/synopsys/installer/${INSTALLER_VERSION}"
- PRODUCT=vcs
- ${INSTALLER_HOME}/installer -install_as_root -batch_installer -source ${S} -target ${ED}/opt/synopsys
- test -d ${ED}/opt/synopsys/${PRODUCT} || die "installer failed"
-}
diff --git a/sci-electronics/vcd2step/Manifest b/sci-electronics/vcd2step/Manifest
deleted file mode 100644
index 5dcad9e..0000000
--- a/sci-electronics/vcd2step/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST vcd2step-0.0.2.tar.gz 2667 SHA256 f221b0e5da488029cbfdc34b94557f468707852729a3b9d80c62a5a6b0447520 SHA512 d99c70a64ea84d90045d08fb6d4193d6b76b194da669bc154d9b83069332810d07b9d95a16290e64aaf4369fd1a914483328821ba94cb1de7f8ea90c97bf445e WHIRLPOOL 0f22245aa636bb1a3b1056e6154bfc027ff6591fab03ef374c7a1a2844129bc2ab981d27eb87c583422bad1ec621221653eb94fe4e4b314dcb8b30de37dbf1b1
-EBUILD vcd2step-0.0.2.ebuild 694 SHA256 e1caab2d152244d97412cccc1431a5769208738c81094d63ab73cdad5302be3a SHA512 d224634dbb45c6726056c45a54ae1e2d66819e5fc1e8bc73910eaf8b688bd5a8a038fc904d2291d2b4f8713fd593df873c1c1907de85a705eb7f57891d0c7bda WHIRLPOOL b354877c7e4b036a5d464a2f9b55a7af8e0d9a074cbce9d1910b07393680f5c4da4227ff2f570b05fe0491656a2edd3daa1503789f68b347a8dc149050cffccf
diff --git a/sci-electronics/vcd2step/vcd2step-0.0.2.ebuild b/sci-electronics/vcd2step/vcd2step-0.0.2.ebuild
deleted file mode 100644
index f26d798..0000000
--- a/sci-electronics/vcd2step/vcd2step-0.0.2.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-EAPI="5"
-
-inherit eutils
-
-DESCRIPTION="Converts VCD files to Chisel tester inputs"
-HOMEPAGE="http://dabbelt.com/~palmer/software/${PN}/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure
- sci-electronics/vcddiff:=
- sci-electronics/libflo:=
- dev-libs/gmp"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
-
- pconfigure --verbose || die "pconfigure failed"
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-electronics/vcddiff/Manifest b/sci-electronics/vcddiff/Manifest
deleted file mode 100644
index 6129e8e..0000000
--- a/sci-electronics/vcddiff/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST vcddiff-0.0.5.tar.gz 11069 SHA256 89fb2cd397f0c4dadecbb9043b37d7e2a512aa6a67e8eb37ded304af5680106f SHA512 af2baf6d74a6b4404a8665e8fe20fa2577460ce81ff3a53e6e9c2b49054fb412a8bd9edfc4b533e7e9d2785426cd3148effe7baf7d765ee77a0c9a7a90743066 WHIRLPOOL 2ce051320097cba21e4a92237b4bd81bf839fa453d1ce5247e5ebe567bc5061980467f24903566a5f86e2c6c04cf715b953c4ee5a515ec8b9d98d07b14f12e68
-EBUILD vcddiff-0.0.5.ebuild 594 SHA256 c1d5d48b798581506333d70eed207fb54b48d236e3064766542c5b00c991d29b SHA512 627fdaed179015f68fd49032f9afa49f7748f16702ff6266c8a69b6357fd54cccab8c0867b7e53b09c1ead6bcc66c949a748be66d48b712cc02c2a5747f0f366 WHIRLPOOL 3cf04022fc6c32e30c4dd2a34ed6d0d382efccbbe2dbf0145eb11f72fff8b7ca12cbbcfc94cd6e6ca6d19a90ae0626d288b475c18dd46730429b5c70ef9391d5
diff --git a/sci-electronics/vcddiff/vcddiff-0.0.5.ebuild b/sci-electronics/vcddiff/vcddiff-0.0.5.ebuild
deleted file mode 100644
index f77d593..0000000
--- a/sci-electronics/vcddiff/vcddiff-0.0.5.ebuild
+++ /dev/null
@@ -1,29 +0,0 @@
-EAPI="2"
-
-inherit eutils
-
-DESCRIPTION="A diff that understands VCD files"
-HOMEPAGE="http://dabbelt.com/~palmer/software/${PN}/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure"
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
-
- echo "LANGUAGES += c" >> Configfile.local
- echo "COMPILEOPTS += -DNDEBUG" >> Configfile.local
-
- pconfigure --verbose || die "pconfigure failed"
-}
-
-src_install() {
- make D=$D install
-}
diff --git a/sci-visualization/silo/Manifest b/sci-visualization/silo/Manifest
deleted file mode 100644
index a73de2a..0000000
--- a/sci-visualization/silo/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST silo-4.8.tar.gz 11931639 RMD160 fd86769988a6d57242d08d3705f7ce9e64d6e799 SHA1 f9e128bf78b15ef6000589a8a231c66f692dc382 SHA256 c430c1d33fcb9bc136a99ad473d535d6763bd1357b704a915ba7b1081d58fb21
-EBUILD silo-4.8.ebuild 402 RMD160 8b3e9572438227eb8c44ef23edc7606d5be5ad87 SHA1 240a38b05569dc4e1d7b1fba75795dffc7702561 SHA256 a2db3a7eda52b4fd5a79cb2ace45fd947b11515e81ced9759c02285f59ec32db
diff --git a/sci-visualization/silo/silo-4.8.ebuild b/sci-visualization/silo/silo-4.8.ebuild
deleted file mode 100644
index 44c67e8..0000000
--- a/sci-visualization/silo/silo-4.8.ebuild
+++ /dev/null
@@ -1,21 +0,0 @@
-EAPI="4"
-
-DESCRIPTION="A mesh and field I/O library and scientific database"
-HOMEPAGE="https://wci.llnl.gov/codes/silo/index.html"
-SRC_URI="https://wci.llnl.gov/codes/silo/silo-${PV}/silo-${PV}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64"
-
-RDEPEND=""
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- ./configure --enable-shared=silo --prefix=/usr
-}
-
-src_install() {
- make DESTDIR="${D}" install
-}
diff --git a/sys-apps/pplug/Manifest b/sys-apps/pplug/Manifest
deleted file mode 100644
index 5777e87..0000000
--- a/sys-apps/pplug/Manifest
+++ /dev/null
@@ -1,3 +0,0 @@
-AUX pplug 232 SHA256 2eae242f1df3b2f4a686ddff7971bdaef165d179f0eaf9cdba96473d215cee65 SHA512 484a5b27c8170c55e8458225d031013e3328d48bb29a970aa9c148f44d10b1de5ff45f0229084181e6f56b5a7fb213749b2b14390f6f4bfdb3306be587610e49 WHIRLPOOL 9335c4b6e4eb680979382ba379a2f1392aea2c62f1d5b86be717f22da63f339ed79dd4f700cc389089b47bcaf1f90e42a90457ee664f413a8ccdba77487949cd
-DIST pplug-0.0.2.tar.gz 4957 SHA256 1753c64236d1ba6f153b90bb483839203b8d1abe6e8d711d5221c843755c1fed SHA512 a892b3a9e6c2d7d020812c9b81b404235a2d36f79e288c796bcc719fd5f73f82f3b47c7a0ace00af0538fbf989198b4675da7ba77b8fa77831b1d577845a9d0e WHIRLPOOL 1db030f225626e6ef89da5456719f394ce2c71ec4892715ac4e9a52353f96c151b69035acbddd848847ec0378aa4914c7cf420858a9ee42d2f79d83d855e1df7
-EBUILD pplug-0.0.2.ebuild 656 SHA256 ea23757cf4d35640d1d71130e1d177c0b784f2c208c310a1ad5b39ff9bd3e987 SHA512 6d6eba90d548bb2fb1b0d13ad4bddf5773f26cfb54e9e1af322474bcd67bcab4752f916a2528e116405a5daad6e33754ba2930aa0b559be037d74eef54660edf WHIRLPOOL e65b3469ce0b50de84b0321bfb69ba390796db2fa4a0264b28cc3ae38ebcecb8a23eef7f5ea6f8a75da4055cc34061ae741eb0915ab0ff8cfea2cfc05a5c4053
diff --git a/sys-apps/pplug/files/pplug b/sys-apps/pplug/files/pplug
deleted file mode 100644
index 2b93a6b..0000000
--- a/sys-apps/pplug/files/pplug
+++ /dev/null
@@ -1,16 +0,0 @@
-#!/sbin/openrc-run
-
-description="Create and initialize pplug database"
-
-depend()
-{
- after localmount
-}
-
-start()
-{
- mkdir -p /var/run/pplug
- pplug-change -p "run" -d "on"
- chgrp -R pplug /var/run/pplug
- chmod g+rw /var/run/pplug/*
-}
diff --git a/sys-apps/pplug/pplug-0.0.2.ebuild b/sys-apps/pplug/pplug-0.0.2.ebuild
deleted file mode 100644
index a8682b3..0000000
--- a/sys-apps/pplug/pplug-0.0.2.ebuild
+++ /dev/null
@@ -1,33 +0,0 @@
-EAPI="5"
-inherit eutils user
-
-DESCRIPTION="A daemon-less hotplug management system"
-HOMEPAGE="http://github.com/palmer-dabbelt/pplug/"
-SRC_URI="https://github.com/palmer-dabbelt/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 amd64-linux"
-IUSE=""
-
-RDEPEND="sys-devel/pconfigure
- >=dev-libs/psqlite-0.0.3:=
- >=dev-libs/putil-0.0.2:=
- dev-libs/libbase64:=
- "
-
-DEPEND="${RDEPEND}"
-
-src_configure() {
- echo "PREFIX = ${EPREFIX}/usr" > Configfile.local
- pconfigure
-}
-
-pkg_setup() {
- enewgroup pplug
-}
-
-src_install() {
- emake DESTDIR="${ED}" install
- doinitd "${FILESDIR}/pplug"
-}
diff --git a/sys-cluster/openmpi/Manifest b/sys-cluster/openmpi/Manifest
deleted file mode 100644
index 14a56a5..0000000
--- a/sys-cluster/openmpi/Manifest
+++ /dev/null
@@ -1,2 +0,0 @@
-DIST openmpi-1.4.3.tar.bz2 6626909 SHA256 220b72b1c7ee35469ff74b4cfdbec457158ac6894635143a33e9178aa3981015 SHA512 c33d5e019ba0b080aa34ecfa085182349da806e4bff26c1bf4dbdc8fb3f8f3c38899b9d96d671e1ae337b523ac9d634ae25c49d9af6eef2bc340c29dba75b1b8 WHIRLPOOL 92349d7bd16ff6e8563df602c215ce98ce5624b38a2975c13832d5b9504691c844206acad4c45376fe53e0116fe591782a3e5f8a132c4ac18ae793470d57db55
-EBUILD openmpi-1.4.3.ebuild 2606 SHA256 491f104d7b4bdb096cf2ea99c7d9176f15f6bba5b71d44807b402d6204fb919f SHA512 bb7ad4ce9f4b8df067ab1e7bc41d6fe011ead0442fed21ff2df9ac46c590c95276f50c57d0825e0f62a80e205050c5f6478baba24257b8b7e1f92f9f54429401 WHIRLPOOL e770847468786ed941e67c9f79aa728d60ad25f8b5f5036ed9d38c22d8b9efcaa48b90c8d25e57ed72aa3284c2731ac3a2f9c77e26961e8b9e236509ba3a2909
diff --git a/sys-cluster/openmpi/openmpi-1.4.3.ebuild b/sys-cluster/openmpi/openmpi-1.4.3.ebuild
deleted file mode 100644
index 515ddee..0000000
--- a/sys-cluster/openmpi/openmpi-1.4.3.ebuild
+++ /dev/null
@@ -1,103 +0,0 @@
-# Copyright 1999-2014 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-# $Id$
-
-EAPI=5
-
-FORTRAN_NEEDED=fortran
-
-inherit eutils fortran-2 multilib flag-o-matic toolchain-funcs
-
-MY_P=${P/-mpi}
-
-DESCRIPTION="A high-performance message passing library (MPI)"
-HOMEPAGE="http://www.open-mpi.org"
-SRC_URI="http://www.open-mpi.org/software/ompi/v1.4/downloads/${MY_P}.tar.bz2"
-LICENSE="BSD"
-SLOT="0"
-RESTRICT="mpi-threads? ( test )"
-KEYWORDS="alpha amd64 ia64 ppc ppc64 sparc x86 ~x86-fbsd"
-IUSE="+cxx elibc_FreeBSD fortran heterogeneous ipv6 mpi-threads pbs romio threads vt"
-RDEPEND="
- pbs? ( sys-cluster/torque )
- vt? (
- !dev-libs/libotf
- !app-text/lcdf-typetools
- )
- elibc_FreeBSD? ( dev-libs/libexecinfo )
- !sys-cluster/mpich
- !sys-cluster/mpich2
- !sys-cluster/mpiexec"
-DEPEND="${RDEPEND}"
-
-S=${WORKDIR}/${MY_P}
-
-pkg_setup() {
- fortran-2_pkg_setup
- if use mpi-threads; then
- echo
- ewarn "WARNING: use of MPI_THREAD_MULTIPLE is still disabled by"
- ewarn "default and officially unsupported by upstream."
- ewarn "You may stop now and set USE=-mpi-threads"
- echo
- fi
-
- echo
- elog "OpenMPI has an overwhelming count of configuration options."
- elog "Don't forget the EXTRA_ECONF environment variable can let you"
- elog "specify configure options if you find them necessary."
- echo
-}
-
-src_prepare() {
- # Necessary for scalibility, see
- # http://www.open-mpi.org/community/lists/users/2008/09/6514.php
- if use threads; then
- echo 'oob_tcp_listen_mode = listen_thread' \
- >> opal/etc/openmpi-mca-params.conf
- fi
-}
-
-src_configure() {
- local myconf=(
- --sysconfdir="${EPREFIX}/etc/${PN}"
- --enable-pretty-print-stacktrace
- --enable-orterun-prefix-by-default
- --without-slurm)
-
- if use mpi-threads; then
- myconf+=(--enable-mpi-threads
- --enable-progress-threads)
- fi
-
- if use fortran; then
- if [[ $(tc-getFC) =~ g77 ]]; then
- myconf+=(--disable-mpi-f90)
- elif [[ $(tc-getFC) =~ if ]]; then
- # Enabled here as gfortran compile times are huge with this enabled.
- myconf+=(--with-mpi-f90-size=medium)
- fi
- else
- myconf+=(--disable-mpi-f90 --disable-mpi-f77)
- fi
-
- ! use vt && myconf+=(--enable-contrib-no-build=vt)
-
- econf "${myconf[@]}" \
- $(use_enable cxx mpi-cxx) \
- $(use_enable romio io-romio) \
- $(use_enable heterogeneous) \
- $(use_with pbs tm) \
- $(use_enable ipv6)
-}
-
-src_install () {
- emake DESTDIR="${D}" install || die "make install failed"
- dodoc README AUTHORS NEWS VERSION || die
- rm -rf ${ED}/usr/share/man
-}
-
-src_test() {
- # Doesn't work with the default src_test as the dry run (-n) fails.
- emake -j1 check || die "emake check failed"
-}